|
Other articles related with "silicon":
|
47401 |
Yi Li(李毅), Yinong Liu(刘一浓), and Shiqian Hu(胡世谦) |
|
|
Phonon resonance modulation in weak van der Waals heterostructures: Controlling thermal transport in graphene—silicon nanoparticle systems |
|
|
|
Chin. Phys. B
2024 Vol.33 (4): 47401-047401
[Abstract]
(49)
[HTML 0 KB]
[PDF 4216 KB]
(16)
|
|
24201 |
Le-Liang Li(李乐良), Gui-Ke Li(李贵柯), Zhao Zhang(张钊), Jian Liu(刘剑), Nan-Jian Wu(吴南健), Kai-You Wang(王开友), Nan Qi(祁楠), and Li-Yuan Liu(刘力源) |
|
|
Silicon-based optoelectronic heterogeneous integration for optical interconnection |
|
|
|
Chin. Phys. B
2024 Vol.33 (2): 24201-024201
[Abstract]
(102)
[HTML 0 KB]
[PDF 3660 KB]
(100)
|
|
26102 |
Hao-Qing Li(李好情), Jing Ming(明静), Zhi-Ang Jiang(姜志昂), Hai-Bo Li(李海波), Yong Ma(马勇), and Xiu-Neng Song(宋秀能) |
|
|
Theoretical characterization of the adsorption configuration of pyrrole on Si(100) surface by x-ray spectroscopy |
|
|
|
Chin. Phys. B
2024 Vol.33 (2): 26102-026102
[Abstract]
(64)
[HTML 0 KB]
[PDF 1061 KB]
(12)
|
|
16104 |
Ya-Hui Feng(冯亚辉), Hong-Xia Guo(郭红霞), Yi-Wei Liu(刘益维), Xiao-Ping Ouyang(欧阳晓平), Jin-Xin Zhang(张晋新), Wu-Ying Ma(马武英), Feng-Qi Zhang(张凤祁), Ru-Xue Bai(白如雪), Xiao-Hua Ma(马晓华), and Yue Hao(郝跃) |
|
|
Sensitivity investigation of 100-MeV proton irradiation to SiGe HBT single event effect |
|
|
|
Chin. Phys. B
2024 Vol.33 (1): 16104-16104
[Abstract]
(73)
[HTML 0 KB]
[PDF 2002 KB]
(12)
|
|
18801 |
Xingqian Chen(陈兴谦), Yan Wang(王燕), Wei Chen(陈伟), Yaoping Liu(刘尧平), Guoguang Xing(邢国光), Bowen Feng(冯博文), Haozhen Li(李昊臻), Zongheng Sun(孙纵横), and Xiaolong Du(杜小龙) |
|
|
Maskless fabrication of quasi-omnidirectional V-groove solar cells using an alkaline solution-based method |
|
|
|
Chin. Phys. B
2024 Vol.33 (1): 18801-18801
[Abstract]
(117)
[HTML 1 KB]
[PDF 1899 KB]
(38)
|
|
128102 |
Yang Liu(刘杨), Zhiwen Wang(王志文), Bowei Li(李博维), Hongyu Zhao(赵洪宇), Shengxue Wang(王胜学), Liangchao Chen(陈良超), Hongan Ma(马红安), and Xiaopeng Jia(贾晓鹏) |
|
|
Diamond growth in a high temperature and high pressure Fe-Ni-C-Si system: Effect of synthesis pressure |
|
|
|
Chin. Phys. B
2023 Vol.32 (12): 128102-128102
[Abstract]
(103)
[HTML 1 KB]
[PDF 1449 KB]
(78)
|
|
114201 |
Wenyu Hu(胡文玉), Zhuo Chen(陈卓), Jiangshan You(尤江山), Ruohui Wang(王若晖), Rui Zhou(周锐), and Xueguang Qiao(乔学光) |
|
|
Vector fiber Bragg gratings accelerometer based on silicone compliant cylinder for low frequency vibration monitoring |
|
|
|
Chin. Phys. B
2023 Vol.32 (11): 114201-114201
[Abstract]
(91)
[HTML 0 KB]
[PDF 1012 KB]
(72)
|
|
110701 |
Xiaojie Cai(蔡小杰), Qian Yu(于潜), Chang Huang(黄畅), Bin Tang(唐彬), Shihui Zhou(周诗慧), Xiaohu Wang(王小胡), Xiuping Yue(岳秀萍), and Zhijia Sun(孙志嘉) |
|
|
Performance optimization of scintillator neutron detectors for EMD in CSNS |
|
|
|
Chin. Phys. B
2023 Vol.32 (11): 110701-110701
[Abstract]
(124)
[HTML 0 KB]
[PDF 3124 KB]
(76)
|
|
108503 |
Hong Zhang(张鸿), Hong-Xia Guo(郭红霞), Zhi-Feng Lei(雷志锋), Chao Peng(彭超), Wu-Ying Ma(马武英), Di Wang(王迪), Chang-Hao Sun(孙常皓), Feng-Qi Zhang(张凤祁), Zhan-Gang Zhang(张战刚), Ye Yang(杨业), Wei Lv(吕伟), Zhong-Ming Wang(王忠明), Xiang-Li Zhong(钟向丽), and Xiao-Ping Ouyang(欧阳晓平) |
|
|
Proton induced radiation effect of SiC MOSFET under different bias |
|
|
|
Chin. Phys. B
2023 Vol.32 (10): 108503-108503
[Abstract]
(91)
[HTML 0 KB]
[PDF 1463 KB]
(20)
|
|
108201 |
Lin Wang(王琳), Na Li(李娜), Hao-Sen Chen(陈浩森), and Wei-Li Song(宋维力) |
|
|
Influence of carbon sources on the performance of carbon-coated nano-silicon |
|
|
|
Chin. Phys. B
2023 Vol.32 (10): 108201-108201
[Abstract]
(97)
[HTML 0 KB]
[PDF 2529 KB]
(13)
|
|
98505 |
Jia-Hao Chen(陈嘉豪), Ying Wang(王颖), Xin-Xing Fei(费新星), Meng-Tian Bao(包梦恬), and Fei Cao(曹菲) |
|
|
Novel layout design of 4H-SiC merged PiN Schottky diodes leading to improved surge robustness |
|
|
|
Chin. Phys. B
2023 Vol.32 (9): 98505-098505
[Abstract]
(112)
[HTML 0 KB]
[PDF 1681 KB]
(38)
|
|
98501 |
Yi-Fan Li(李逸帆), Tao Ni(倪涛), Xiao-Jing Li(李晓静), Juan-Juan Wang(王娟娟), Lin-Chun Gao(高林春), Jian-Hui Bu(卜建辉), Duo-Li Li(李多力), Xiao-Wu Cai(蔡小五), Li-Da Xu(许立达), Xue-Qin Li(李雪勤), Run-Jian Wang(王润坚), Chuan-Bin Zeng(曾传滨), Bo Li(李博), Fa-Zhan Zhao(赵发展), Jia-Jun Luo(罗家俊), and Zheng-Sheng Han(韩郑生) |
|
|
Analytical workload dependence of self-heating effect for SOI MOSFETs considering two-stage heating process |
|
|
|
Chin. Phys. B
2023 Vol.32 (9): 98501-098501
[Abstract]
(118)
[HTML 0 KB]
[PDF 2406 KB]
(21)
|
|
96301 |
Fu Wang(王甫), Yandong Sun(孙彦东), Yu Zou(邹宇), Ben Xu(徐贲), and Baoqin Fu(付宝勤) |
|
|
Unveiling phonon frequency-dependent mechanism of heat transport across stacking fault in silicon carbide |
|
|
|
Chin. Phys. B
2023 Vol.32 (9): 96301-096301
[Abstract]
(101)
[HTML 1 KB]
[PDF 1276 KB]
(48)
|
|
90402 |
Xiu-Ping Yue(岳秀萍), Zhi-Fu Zhu(朱志甫), Bin Tang(唐彬), Chang Huang(黄畅), Qian Yu(于潜), Shao-Jia Chen(陈少佳), Xiu-Ku Wang(王修库), Hong Xu(许虹), Shi-Hui Zhou(周诗慧),Xiao-Jie Cai(蔡小杰), Hao Yang(杨浩), Zhi-Yong Wan(万志勇),Zhi-Jia Sun(孙志嘉), and Yun-Tao Liu(刘云涛) |
|
|
Silicon photomultiplier based scintillator thermal neutron detector for China Spallation Neutron Source (CSNS) |
|
|
|
Chin. Phys. B
2023 Vol.32 (9): 90402-090402
[Abstract]
(136)
[HTML 0 KB]
[PDF 1677 KB]
(77)
|
|
98101 |
Jin-Xin Liu(刘金鑫), Fang Peng(彭放), Guo-Long Ma(马国龙), Wen-Jia Liang(梁文嘉), Rui-Qi He(何瑞琦), Shi-Xue Guan(管诗雪), Yue Tang(唐越), and Xiao-Jun Xiang(向晓君) |
|
|
High-pressure and high-temperature sintering of pure cubic silicon carbide: A study on stress-strain and densification |
|
|
|
Chin. Phys. B
2023 Vol.32 (9): 98101-098101
[Abstract]
(113)
[HTML 1 KB]
[PDF 2357 KB]
(30)
|
|
97301 |
Weijie Wei(魏伟杰), Weifeng Lü(吕伟锋), Ying Han(韩颖), Caiyun Zhang(张彩云), and Dengke Chen(谌登科) |
|
|
Design optimization of a silicon-germanium heterojunction negative capacitance gate-all-around tunneling field effect transistor based on a simulation study |
|
|
|
Chin. Phys. B
2023 Vol.32 (9): 97301-097301
[Abstract]
(139)
[HTML 1 KB]
[PDF 2753 KB]
(62)
|
|
66105 |
Ya-Hui Feng(冯亚辉), Hong-Xia Guo(郭红霞), Xiao-Yu Pan(潘霄宇), Jin-Xin Zhang(张晋新),Xiang-Li Zhong(钟向丽), Hong Zhang(张鸿), An-An Ju(琚安安),Ye Liu(刘晔), and Xiao-Ping Ouyang(欧阳晓平) |
|
|
Sensitivity study of the SiGe heterojunction bipolar transistor single event effect based on pulsed laser and technology computer-aided design simulation |
|
|
|
Chin. Phys. B
2023 Vol.32 (6): 66105-066105
[Abstract]
(158)
[HTML 0 KB]
[PDF 1458 KB]
(194)
|
|
56102 |
Guang-Sheng Ning(宁广胜), Li-Min Zhang(张利民), Wei-Hua Zhong(钟巍华), Sheng-Hong Wang(王绳鸿), Xin-Yu Liu(刘心语), Ding-Ping Wang(汪定平), An-Ping He(何安平), Jian Liu(刘健), and Chang-Yi Zhang(张长义) |
|
|
Application of silicon carbide temperature monitors in 49-2 swimming-pool test reactor |
|
|
|
Chin. Phys. B
2023 Vol.32 (5): 56102-056102
[Abstract]
(192)
[HTML 1 KB]
[PDF 2103 KB]
(120)
|
|
44212 |
Dao-Xin Sun(孙道鑫), Dong-Liang Zhang(张东亮), Li-Dan Lu(鹿利单), Tao Xu(徐涛),Xian-Tong Zheng(郑显通), Zhe-Hai Zhou(周哲海), and Lian-Qing Zhu(祝连庆) |
|
|
Design and simulation of a silicon-based hybrid integrated optical gyroscope system |
|
|
|
Chin. Phys. B
2023 Vol.32 (4): 44212-044212
[Abstract]
(204)
[HTML 0 KB]
[PDF 1608 KB]
(272)
|
|
28504 |
Hong Zhang(张鸿), Hongxia Guo(郭红霞), Zhifeng Lei(雷志锋), Chao Peng(彭超), Zhangang Zhang(张战刚), Ziwen Chen(陈资文), Changhao Sun(孙常皓), Yujuan He(何玉娟), Fengqi Zhang(张凤祁), Xiaoyu Pan(潘霄宇), Xiangli Zhong(钟向丽), and Xiaoping Ouyang(欧阳晓平) |
|
|
Experiment and simulation on degradation and burnout mechanisms of SiC MOSFET under heavy ion irradiation |
|
|
|
Chin. Phys. B
2023 Vol.32 (2): 28504-028504
[Abstract]
(265)
[HTML 1 KB]
[PDF 1582 KB]
(101)
|
|
28502 |
Yuankang Chen(陈远康), Yuanliang Zhou(周远良), Jie Jiang(蒋杰), Tingke Rao(饶庭柯), Wugang Liao(廖武刚), and Junjie Liu(刘俊杰) |
|
|
Enhancement of holding voltage by a modified low-voltage trigger silicon-controlled rectifier structure for electrostatic discharge protection |
|
|
|
Chin. Phys. B
2023 Vol.32 (2): 28502-028502
[Abstract]
(255)
[HTML 0 KB]
[PDF 902 KB]
(264)
|
|
107901 |
Guo-Bao Feng(封国宝), Yun Li(李韵), Xiao-Jun Li(李小军), Gui-Bai Xie(谢贵柏), and Lu Liu(刘璐) |
|
|
Characteristics of secondary electron emission from few layer graphene on silicon (111) surface |
|
|
|
Chin. Phys. B
2022 Vol.31 (10): 107901-107901
[Abstract]
(320)
[HTML 1 KB]
[PDF 4174 KB]
(101)
|
|
98502 |
Xinxin Zuo(左欣欣), Jiang Lu(陆江), Xiaoli Tian(田晓丽), Yun Bai(白云), Guodong Cheng(成国栋), Hong Chen(陈宏), Yidan Tang(汤益丹), Chengyue Yang(杨成樾), and Xinyu Liu(刘新宇) |
|
|
Improvement on short-circuit ability of SiC super-junction MOSFET with partially widened pillar structure |
|
|
|
Chin. Phys. B
2022 Vol.31 (9): 98502-098502
[Abstract]
(302)
[HTML 0 KB]
[PDF 1544 KB]
(151)
|
|
98401 |
Xiufang Yang(杨秀芳), Shengsheng Zhao(赵生盛), Qian Huang(黄茜), Cao Yu(郁超), Jiakai Zhou(周佳凯), Xiaoning Liu(柳晓宁), Xianglin Su(苏祥林),Ying Zhao(赵颖), and Guofu Hou(侯国付) |
|
|
Sub-stochiometric MoOx by radio-frequency magnetron sputtering as hole-selective passivating contacts for silicon heterojunction solar cells |
|
|
|
Chin. Phys. B
2022 Vol.31 (9): 98401-098401
[Abstract]
(253)
[HTML 0 KB]
[PDF 2176 KB]
(88)
|
|
87501 |
Zhong-Xue Huang(黄忠学), Rui Wang(王瑞), Xin Yang(杨鑫), Hao-Feng Chen(陈浩锋), and Li-Xin Cao(曹立新) |
|
|
Magnetic properties of oxides and silicon single crystals |
|
|
|
Chin. Phys. B
2022 Vol.31 (8): 87501-087501
[Abstract]
(342)
[HTML 0 KB]
[PDF 915 KB]
(140)
|
|
78501 |
Pei Shen(沈培), Ying Wang(王颖), and Fei Cao(曹菲) |
|
|
A 4H-SiC trench MOSFET structure with wrap N-type pillar for low oxide field and enhanced switching performance |
|
|
|
Chin. Phys. B
2022 Vol.31 (7): 78501-078501
[Abstract]
(389)
[HTML 1 KB]
[PDF 1551 KB]
(132)
|
|
56108 |
Yuanchao Huang(黄渊超), Rong Wang(王蓉), Yiqiang Zhang(张懿强), Deren Yang(杨德仁), and Xiaodong Pi(皮孝东) |
|
|
Assessing the effect of hydrogen on the electronic properties of 4H-SiC |
|
|
|
Chin. Phys. B
2022 Vol.31 (5): 56108-056108
[Abstract]
(370)
[HTML 1 KB]
[PDF 1043 KB]
(163)
|
|
48103 |
Hang-Hang Wang(王行行), Wen-Qi Lu(陆文琪), Jiao Zhang(张娇), and Jun Xu(徐军) |
|
|
Comparative study of high temperature anti-oxidation property of sputtering deposited stoichiometric and Si-rich SiC films |
|
|
|
Chin. Phys. B
2022 Vol.31 (4): 48103-048103
[Abstract]
(338)
[HTML 0 KB]
[PDF 997 KB]
(28)
|
|
24203 |
Shao-Yang Li(李绍洋), Liang-Liang Wang(王亮亮), Dan Wu(吴丹), Jin You(游金), Yue Wang(王玥), Jia-Shun Zhang(张家顺), Xiao-Jie Yin(尹小杰), Jun-Ming An(安俊明), and Yuan-Da Wu(吴远大) |
|
|
High efficiency, small size, and large bandwidth vertical interlayer waveguide coupler |
|
|
|
Chin. Phys. B
2022 Vol.31 (2): 24203-024203
[Abstract]
(392)
[HTML 0 KB]
[PDF 3104 KB]
(83)
|
|
26104 |
Xin-Chao Yang(杨鑫超), Qun Wei(魏群), Mei-Guang Zhang(张美光), Ming-Wei Hu(胡明玮), Lin-Qian Li(李林茜), and Xuan-Min Zhu(朱轩民) |
|
|
A new direct band gap silicon allotrope o-Si32 |
|
|
|
Chin. Phys. B
2022 Vol.31 (2): 26104-026104
[Abstract]
(316)
[HTML 0 KB]
[PDF 2108 KB]
(34)
|
|
24206 |
Qilin Zheng(郑骑林), Jiacheng Liu(刘嘉成), Chao Wu(吴超), Shichuan Xue(薛诗川), Pingyu Zhu(朱枰谕), Yang Wang(王洋), Xinyao Yu(于馨瑶), Miaomiao Yu(余苗苗), Mingtang Deng(邓明堂), Junjie Wu(吴俊杰), and Ping Xu(徐平) |
|
|
Bright 547-dimensional Hilbert-space entangled resource in 28-pair modes biphoton frequency comb from a reconfigurable silicon microring resonator |
|
|
|
Chin. Phys. B
2022 Vol.31 (2): 24206-024206
[Abstract]
(581)
[HTML 1 KB]
[PDF 1893 KB]
(345)
|
|
28505 |
Xiao-Liang Chen(陈晓亮), Tian Chen(陈天), Wei-Feng Sun(孙伟锋), Zhong-Jian Qian(钱忠健), Yu-Dai Li(李玉岱), and Xing-Cheng Jin(金兴成) |
|
|
Impact of STI indium implantation on reliability of gate oxide |
|
|
|
Chin. Phys. B
2022 Vol.31 (2): 28505-028505
[Abstract]
(319)
[HTML 0 KB]
[PDF 1321 KB]
(88)
|
|
14201 |
Jiacheng Liu(刘嘉成), Chao Wu(吴超), Gongyu Xia(夏功榆), Qilin Zheng(郑骑林), Zhihong Zhu(朱志宏), and Ping Xu(徐平) |
|
|
Bandwidth-tunable silicon nitride microring resonators |
|
|
|
Chin. Phys. B
2022 Vol.31 (1): 14201-014201
[Abstract]
(375)
[HTML 0 KB]
[PDF 592 KB]
(88)
|
|
14402 |
Jian Zhang(张健), Hao-Chun Zhang(张昊春), Zi-Liang Huang(黄子亮), Wen-Bo Sun(孙文博), and Yi-Yi Li(李依依) |
|
|
Construction and mechanism analysis on nanoscale thermal cloak by in-situ annealing silicon carbide film |
|
|
|
Chin. Phys. B
2022 Vol.31 (1): 14402-014402
[Abstract]
(473)
[HTML 1 KB]
[PDF 1808 KB]
(176)
|
|
120703 |
Changjian Xie(解长健), Xihua Zou (邹喜华), Fang Zou(邹放), Lianshan Yan(闫连山), Wei Pan(潘炜), and Yong Zhang(张永) |
|
|
A 32-channel 100 GHz wavelength division multiplexer by interleaving two silicon arrayed waveguide gratings |
|
|
|
Chin. Phys. B
2021 Vol.30 (12): 120703-120703
[Abstract]
(420)
[HTML 1 KB]
[PDF 1746 KB]
(221)
|
|
116102 |
Saqib Shahzad, Khurram Iqbal, and Zaheer Uddin |
|
|
Theoretical study of reactive melt infiltration to fabricate Co-Si/C composites |
|
|
|
Chin. Phys. B
2021 Vol.30 (11): 116102-116102
[Abstract]
(329)
[HTML 0 KB]
[PDF 5458 KB]
(41)
|
|
107801 |
Wan-Duo Ma(马婉铎), Ya-Lin Li(李亚林), Pei Gong(龚裴), Ya-Hui Jia(贾亚辉), and Xiao-Yong Fang(房晓勇) |
|
|
Conductance and dielectric properties of hydrogen and hydroxyl passivated SiCNWs |
|
|
|
Chin. Phys. B
2021 Vol.30 (10): 107801-107801
[Abstract]
(466)
[HTML 0 KB]
[PDF 1490 KB]
(46)
|
|
68202 |
Fangrong Hu(胡放荣), Mingyang Zhang(张铭扬), Wenbin Qi(起文斌), Jieyun Zheng(郑杰允), Yue Sun(孙悦), Jianyu Kang(康剑宇), Hailong Yu(俞海龙), Qiyu Wang(王其钰), Shijuan Chen(陈世娟), Xinhua Sun(孙新华), Baogang Quan(全保刚), Junjie Li(李俊杰), Changzhi Gu(顾长志), and Hong Li(李泓) |
|
|
Silicon micropillar electrodes of lithiumion batteries used for characterizing electrolyte additives |
|
|
|
Chin. Phys. B
2021 Vol.30 (6): 68202-068202
[Abstract]
(477)
[HTML 1 KB]
[PDF 2770 KB]
(172)
|
|
64210 |
Wen-Juan Li(李文娟), Yu-Qiang Guo(郭玉强), Chi Zhang(张弛), Hong-Mei Ma(马红梅), and Yu-Bao Sun(孙玉宝) |
|
|
A 90° mixed-mode twisted nematic liquid-crystal-on-silicon with an insulating protrusion structure |
|
|
|
Chin. Phys. B
2021 Vol.30 (6): 64210-064210
[Abstract]
(383)
[HTML 1 KB]
[PDF 2439 KB]
(135)
|
|
67803 |
Ying-Ying Yang(杨莹莹), Pei Gong(龚裴), Wan-Duo Ma(马婉铎), Rui Hao(郝锐), and Xiao-Yong Fang(房晓勇) |
|
|
Effects of substitution of group-V atoms for carbon or silicon atoms on optical properties of silicon carbide nanotubes |
|
|
|
Chin. Phys. B
2021 Vol.30 (6): 67803-067803
[Abstract]
(482)
[HTML 0 KB]
[PDF 1287 KB]
(119)
|
|
58502 |
Pei Shen(沈培), Ying Wang(王颖), Xing-Ji Li(李兴冀), Jian-Qun Yang(杨剑群), Cheng-Hao Yu(于成浩), and Fei Cao(曹菲) |
|
|
Improved 4H-SiC UMOSFET with super-junction shield region |
|
|
|
Chin. Phys. B
2021 Vol.30 (5): 58502-058502
[Abstract]
(539)
[HTML 1 KB]
[PDF 1030 KB]
(168)
|
|
48103 |
Yudong Zhang(张玉栋), Jiale Tang(唐家乐), Yongjie Hu(胡永杰), Jie Yuan(袁杰), Lulu Guan(管路路), Xingyu Li(李星雨), Hushan Cui(崔虎山), Guanghui Ding(丁光辉), Xinying Shi(石新颖), Kaidong Xu(许开东), and Shiwei Zhuang(庄仕伟) |
|
|
Effect of hydrogen content on dielectric strength of the silicon nitride film deposited by ICP-CVD |
|
|
|
Chin. Phys. B
2021 Vol.30 (4): 48103-
[Abstract]
(421)
[HTML 1 KB]
[PDF 648 KB]
(106)
|
|
46501 |
Sheng-Wu Wang(王晟伍), Lu Peng(彭璐), Jun-Wu Chen(陈俊武), and Lee Li(李黎) |
|
|
A comparative study of the self-propelled jumping capabilities of coalesced droplets on RTV surfaces and superhydrophobic surfaces |
|
|
|
Chin. Phys. B
2021 Vol.30 (4): 46501-
[Abstract]
(404)
[HTML 1 KB]
[PDF 1051 KB]
(93)
|
|
38501 |
Zi-Jie Zhou(周子杰), Xiang-Liang Jin(金湘亮), Yang Wang(汪洋), and Peng Dong(董鹏) |
|
|
New DDSCR structure with high holding voltage for robust ESD applications |
|
|
|
Chin. Phys. B
2021 Vol.30 (3): 38501-
[Abstract]
(392)
[HTML 1 KB]
[PDF 1487 KB]
(67)
|
|
37303 |
Zhiwei Huang(黄志伟), Shaoying Ke(柯少颖), Jinrong Zhou(周锦荣), Yimo Zhao(赵一默), Wei Huang(黄巍), Songyan Chen(陈松岩), and Cheng Li(李成) |
|
|
High-performing silicon-based germanium Schottky photodetector with ITO transparent electrode |
|
|
|
Chin. Phys. B
2021 Vol.30 (3): 37303-
[Abstract]
(431)
[HTML 1 KB]
[PDF 2033 KB]
(143)
|
|
24207 |
Xiangxian Wang(王向贤), Jiankai Zhu(朱剑凯), Yueqi Xu(徐月奇), Yunping Qi(祁云平), Liping Zhang(张丽萍), Hua Yang(杨华), and Zao Yi(易早) |
|
|
A novel plasmonic refractive index sensor based on gold/silicon complementary grating structure |
|
|
|
Chin. Phys. B
2021 Vol.30 (2): 24207-0
[Abstract]
(631)
[HTML 1 KB]
[PDF 922 KB]
(155)
|
|
24206 |
Lijun Yang(杨丽君), Xiaoyan Hu(胡小燕), Bin Li(李斌), and Jing Cao(曹静) |
|
|
Polarization-independent silicon photonic grating coupler for large spatial light spots |
|
|
|
Chin. Phys. B
2021 Vol.30 (2): 24206-0
[Abstract]
(347)
[HTML 1 KB]
[PDF 760 KB]
(62)
|
|
16201 |
Zi-Han Liu(刘子涵), Yi-Lan Kang(亢一澜), Hai-Bin Song(宋海滨), Qian Zhang(张茜), and Hai-Mei Xie(谢海妹) |
|
|
Experimental investigation of electrode cycle performance and electrochemical kinetic performance under stress loading |
|
|
|
Chin. Phys. B
2021 Vol.30 (1): 16201-
[Abstract]
(328)
[HTML 1 KB]
[PDF 2106 KB]
(83)
|
|
14207 |
Xu Wang(王旭), Jue Wang(王珏), Tao Ma(马涛), Heng Liu(刘恒), and Fang Wang(王芳) |
|
|
Plasmonic characteristics of suspended graphene-coated wedge porous silicon nanowires with Ag partition |
|
|
|
Chin. Phys. B
2021 Vol.30 (1): 14207-
[Abstract]
(374)
[HTML 1 KB]
[PDF 1240 KB]
(58)
|
|
98502 |
Wenqiang Song(宋文强), Fei Hou(侯飞), Feibo Du(杜飞波), Zhiwei Liu(刘志伟), Juin J. Liou(刘俊杰) |
|
|
Enhanced gated-diode-triggered silicon-controlled rectifier for robust electrostatic discharge (ESD) protection applications |
|
|
|
Chin. Phys. B
2020 Vol.29 (9): 98502-098502
[Abstract]
(761)
[HTML 0 KB]
[PDF 1050 KB]
(145)
|
|
87801 |
Quan-Jiang Lv(吕全江), Yi-Hong Zhang(张一鸿), Chang-Da Zheng(郑畅达), Jiang-Dong Gao(高江东), Jian-Li Zhang(张建立), Jun-Lin Liu(刘军林) |
|
|
Analysis of stress-induced inhomogeneous electroluminescence in GaN-based green LEDs grown on mesh-patterned Si (111) substrates with n-type AlGaN layer |
|
|
|
Chin. Phys. B
2020 Vol.29 (8): 87801-087801
[Abstract]
(710)
[HTML 0 KB]
[PDF 946 KB]
(89)
|
|
84207 |
Lanting Ji(姬兰婷), Wei Chen(陈威), Yang Gao(高阳), Yan Xu(许言), Chi Wu(吴锜), Xibin Wang(王希斌), Yunji Yi(衣云骥), Baohua Li(李宝华), Xiaoqiang Sun(孙小强), Daming Zhang(张大明) |
|
|
Low-power electro-optic phase modulator based on multilayer graphene/silicon nitride waveguide |
|
|
|
Chin. Phys. B
2020 Vol.29 (8): 84207-084207
[Abstract]
(685)
[HTML 0 KB]
[PDF 901 KB]
(95)
|
|
86502 |
Heng-Yu Yang(杨恒玉), Ya-Li Chen(陈亚利), Wu-Xing Zhou(周五星), Guo-Feng Xie(谢国锋), Ning Xu(徐宁) |
|
|
Ultra-low thermal conductivity of roughened silicon nanowires: Role of phonon-surface bond order imperfection scattering |
|
|
|
Chin. Phys. B
2020 Vol.29 (8): 86502-086502
[Abstract]
(556)
[HTML 0 KB]
[PDF 706 KB]
(161)
|
|
88501 |
Qiaoli Liu(刘巧莉), Haiyan Zhang(张海燕), Lingxiang Hao(郝凌翔), Anqi Hu(胡安琪), Guang Wu(吴光), Xia Guo(郭霞) |
|
|
Total dose test with γ-ray for silicon single photon avalanche diodes |
|
|
|
Chin. Phys. B
2020 Vol.29 (8): 88501-088501
[Abstract]
(439)
[HTML 0 KB]
[PDF 641 KB]
(103)
|
|
84209 |
Zhanghua Han(韩张华), Hui Jiang(姜辉), Zhiyong Tan(谭智勇), Juncheng Cao(曹俊诚), Yangjian Cai(蔡阳健) |
|
|
Symmetry-broken silicon disk array as an efficient terahertz switch working with ultra-low optical pump power |
|
|
|
Chin. Phys. B
2020 Vol.29 (8): 84209-084209
[Abstract]
(733)
[HTML 0 KB]
[PDF 659 KB]
(191)
|
|
70703 |
Lei Yin(尹蕾), Xiaodong Pi(皮孝东), Deren Yang(杨德仁) |
|
|
Silicon-based optoelectronic synaptic devices |
|
|
|
Chin. Phys. B
2020 Vol.29 (7): 70703-070703
[Abstract]
(659)
[HTML 0 KB]
[PDF 6094 KB]
(433)
|
|
64212 |
Zhen Liu(刘振), Wei-Guo Jia(贾维国), Hong-Yu Wang(王红玉), Yang Wang(汪洋), Neimule Men-Ke(门克内木乐), Jun-Ping Zhang(张俊萍) |
|
|
Effect of dark soliton on the spectral evolution of bright soliton in a silicon-on-insulator waveguide |
|
|
|
Chin. Phys. B
2020 Vol.29 (6): 64212-064212
[Abstract]
(532)
[HTML 1 KB]
[PDF 2058 KB]
(94)
|
|
68503 |
Ling Zhu(朱玲), Hai-Lian Liang(梁海莲), Xiao-Feng Gu(顾晓峰), Jie Xu(许杰) |
|
|
Design of a novel high holding voltage LVTSCR with embedded clamping diode |
|
|
|
Chin. Phys. B
2020 Vol.29 (6): 68503-068503
[Abstract]
(554)
[HTML 1 KB]
[PDF 645 KB]
(110)
|
|
46601 |
Wenxue Xu(徐文雪), Yanyan Wu(吴雁艳), Yuan Zhu(祝渊), Xin-Gang Liang(梁新刚) |
|
|
Molecular dynamics simulation of thermal conductivity of silicone rubber |
|
|
|
Chin. Phys. B
2020 Vol.29 (4): 46601-046601
[Abstract]
(614)
[HTML 1 KB]
[PDF 1759 KB]
(217)
|
|
38801 |
Jun-Fan Chen(陈俊帆), Sheng-Sheng Zhao(赵生盛), Ling-Ling Yan(延玲玲), Hui-Zhi Ren(任慧志), Can Han(韩灿), De-Kun Zhang(张德坤), Chang-Chun Wei(魏长春), Guang-Cai Wang(王广才), Guo-Fu Hou(侯国付), Ying Zhao(赵颖), Xiao-Dan Zhang(张晓丹) |
|
|
Microstructure evolution and passivation quality of hydrogenated amorphous silicon oxide (a-SiOx:H) on <100>- and <111>-orientated c-Si wafers |
|
|
|
Chin. Phys. B
2020 Vol.29 (3): 38801-038801
[Abstract]
(552)
[HTML 1 KB]
[PDF 1302 KB]
(209)
|
|
38503 |
Jia-Fei Yao(姚佳飞), Yu-Feng Guo(郭宇锋), Zhen-Yu Zhang(张振宇), Ke-Meng Yang(杨可萌), Mao-Lin Zhang(张茂林), Tian Xia(夏天) |
|
|
Numerical and analytical investigations for the SOI LDMOS with alternated high-k dielectric and step doped silicon pillars |
|
|
|
Chin. Phys. B
2020 Vol.29 (3): 38503-038503
[Abstract]
(639)
[HTML 1 KB]
[PDF 637 KB]
(157)
|
|
37702 |
Yiqing Wu(吴怡清), Ke Tao(陶科), Shuai Jiang(姜帅), Rui Jia(贾锐), Ye Huang(黄也) |
|
|
Surface passivation in n-type silicon and its application insilicon drift detector |
|
|
|
Chin. Phys. B
2020 Vol.29 (3): 37702-037702
[Abstract]
(522)
[HTML 1 KB]
[PDF 1954 KB]
(164)
|
|
28501 |
Xian-Cheng Liu(刘先程), Jia-Jun Ma(马佳俊), Hong-Yun Xie(谢红云), Pei Ma(马佩), Liang Chen(陈亮), Min Guo(郭敏), Wan-Rong Zhang(张万荣) |
|
|
Effects of buried oxide layer on working speed of SiGe heterojunction photo-transistor |
|
|
|
Chin. Phys. B
2020 Vol.29 (2): 28501-028501
[Abstract]
(548)
[HTML 1 KB]
[PDF 515 KB]
(202)
|
|
14203 |
Zhen Liu(刘振), Weiguo Jia(贾维国), Yang Wang(汪洋), Hongyu Wang(王红玉), Neimule Men-Ke(门克内木乐), Jun-Ping Zhang(张俊萍) |
|
|
Propagation characteristics of parallel dark solitons in silicon-on-insulator waveguide |
|
|
|
Chin. Phys. B
2020 Vol.29 (1): 14203-014203
[Abstract]
(520)
[HTML 1 KB]
[PDF 4913 KB]
(124)
|
|
128503 |
Haibin Huang(黄海宾), Lang Zhou(周浪), Jiren Yuan(袁吉仁), Zhijue Quan(全知觉) |
|
|
Simulation of a-Si: H/c-Si heterojunction solar cells: From planar junction to local junction |
|
|
|
Chin. Phys. B
2019 Vol.28 (12): 128503-128503
[Abstract]
(669)
[HTML 1 KB]
[PDF 1339 KB]
(152)
|
|
127302 |
Xiao-Di Zhang(张晓迪), Wei-Hua Han(韩伟华), Wen Liu(刘雯), Xiao-Song Zhao(赵晓松), Yang-Yan Guo(郭仰岩), Chong Yang(杨冲), Jun-Dong Chen(陈俊东), Fu-Hua Yang(杨富华) |
|
|
Single-electron transport through single and coupling dopant atoms in silicon junctionless nanowire transistor |
|
|
|
Chin. Phys. B
2019 Vol.28 (12): 127302-127302
[Abstract]
(658)
[HTML 1 KB]
[PDF 1850 KB]
(150)
|
|
103104 |
Yonghong Tian(田永红), Weiguo Sun(孙伟国), Bole Chen(陈伯乐), Yuanyuan Jin(金圆圆), Cheng Lu(卢成) |
|
|
Cluster structure prediction via CALYPSO method |
|
|
|
Chin. Phys. B
2019 Vol.28 (10): 103104-103104
[Abstract]
(782)
[HTML 1 KB]
[PDF 5005 KB]
(523)
|
|
104209 |
Li-Fei Tian(田立飞), Ying-Xin Kuang(匡迎新), Zhong-Chao Fan(樊中朝), Zhi-Yong Li(李智勇) |
|
|
Low insertion loss silicon-based spatial light modulator with high reflective materials outside Fabry-Perot cavity |
|
|
|
Chin. Phys. B
2019 Vol.28 (10): 104209-104209
[Abstract]
(607)
[HTML 1 KB]
[PDF 1528 KB]
(155)
|
|
104211 |
Chao Wu(吴超), Yingwen Liu(刘英文), Xiaowen Gu(顾晓文), Shichuan Xue(薛诗川), Xinxin Yu(郁鑫鑫), Yuechan Kong(孔月婵), Xiaogang Qiang(强晓刚), Junjie Wu(吴俊杰), Zhihong Zhu(朱志宏), Ping Xu(徐平) |
|
|
Characterize and optimize the four-wave mixing in dual-interferometer coupled silicon microrings |
|
|
|
Chin. Phys. B
2019 Vol.28 (10): 104211-104211
[Abstract]
(895)
[HTML 1 KB]
[PDF 1142 KB]
(175)
|
|
107801 |
Yanxu Chen(陈彦旭), Dongliang Xu(许栋梁), Kaikai Xu(徐开凯), Ning Zhang(张宁), Siyang Liu(刘斯扬), Jianming Zhao(赵建明), Qian Luo(罗谦), Lukas W. Snyman, Jacobus W. Swart |
|
|
Optoelectronic properties analysis of silicon light-emitting diode monolithically integrated in standard CMOS IC |
|
|
|
Chin. Phys. B
2019 Vol.28 (10): 107801-107801
[Abstract]
(943)
[HTML 1 KB]
[PDF 1215 KB]
(224)
|
|
98201 |
Jianhui Bao(包建辉), Ke Tao(陶科), Yiren Lin(林苡任), Rui Jia(贾锐), Aimin Liu(刘爱民) |
|
|
The n-type Si-based materials applied on the front surface of IBC-SHJ solar cells |
|
|
|
Chin. Phys. B
2019 Vol.28 (9): 98201-098201
[Abstract]
(695)
[HTML 1 KB]
[PDF 567 KB]
(187)
|
|
88502 |
Chao-Yang Han(韩朝阳), Yuan Liu(刘远), Yu-Rong Liu(刘玉荣), Ya-Yi Chen(陈雅怡), Li Wang(王黎), Rong-Sheng Chen(陈荣盛) |
|
|
Negative gate bias stress effects on conduction and low frequency noise characteristics in p-type poly-Si thin-film transistors |
|
|
|
Chin. Phys. B
2019 Vol.28 (8): 88502-088502
[Abstract]
(630)
[HTML 1 KB]
[PDF 558 KB]
(147)
|
|
86801 |
Wen-Ting Zhang(张文婷), Fen-Xia Wang(王粉霞), Yu-Miao Li(李玉苗), Xiao-Xing Guo(郭小星), Jian-Hong Yang(杨建红) |
|
|
Organic field-effect transistor floating-gate memory using polysilicon as charge trapping layer |
|
|
|
Chin. Phys. B
2019 Vol.28 (8): 86801-086801
[Abstract]
(515)
[HTML 1 KB]
[PDF 850 KB]
(108)
|
|
76106 |
Jia-Nan Wei(魏佳男), Chao-Hui He(贺朝会), Pei Li(李培), Yong-Hong Li(李永宏), Hong-Xia Guo(郭红霞) |
|
|
Impact of proton-induced alteration of carrier lifetime on single-event transient in SiGe heterojunction bipolar transistor |
|
|
|
Chin. Phys. B
2019 Vol.28 (7): 76106-076106
[Abstract]
(600)
[HTML 1 KB]
[PDF 1215 KB]
(195)
|
|
76103 |
Hang-Cheng Zhang(章航程), Cheng-Ke Chen(陈成克), Ying-Shuang Mei(梅盈爽), Xiao Li(李晓), Mei-Yan Jiang(蒋梅燕), Xiao-Jun Hu(胡晓君) |
|
|
Micron-sized diamond particles containing Ge-V and Si-V color centers |
|
|
|
Chin. Phys. B
2019 Vol.28 (7): 76103-076103
[Abstract]
(729)
[HTML 1 KB]
[PDF 2068 KB]
(152)
|
|
68502 |
Taha Haddadifam, Mohammad Azim Karami |
|
|
Dark count rate and band to band tunneling optimization for single photon avalanche diode topologies |
|
|
|
Chin. Phys. B
2019 Vol.28 (6): 68502-068502
[Abstract]
(533)
[HTML 1 KB]
[PDF 6731 KB]
(165)
|
|
68504 |
Zheng-Xin Wen(温正欣), Feng Zhang(张峰), Zhan-Wei Shen(申占伟), Jun Chen(陈俊), Ya-Wei He(何亚伟), Guo-Guo Yan(闫果果), Xing-Fang Liu(刘兴昉), Wan-Shun Zhao(赵万顺), Lei Wang(王雷), Guo-Sheng Sun(孙国胜), Yi-Ping Zeng(曾一平) |
|
|
Design and fabrication of 10-kV silicon-carbide p-channel IGBTs with hexagonal cells and step space modulated junction termination extension |
|
|
|
Chin. Phys. B
2019 Vol.28 (6): 68504-068504
[Abstract]
(676)
[HTML 1 KB]
[PDF 1521 KB]
(263)
|
|
68503 |
Jia-Nan Wei(魏佳男), Chao-Hui He(贺朝会), Pei Li(李培), Yong-Hong Li(李永宏) |
|
|
Research on SEE mitigation techniques using back junction and p+ buffer layer in domestic non-DTI SiGe HBTs by TCAD |
|
|
|
Chin. Phys. B
2019 Vol.28 (6): 68503-068503
[Abstract]
(608)
[HTML 1 KB]
[PDF 1876 KB]
(139)
|
|
68201 |
Hao Lu(陆浩), Junyang Wang(汪君洋), Bonan Liu(刘柏男), Geng Chu(褚赓), Ge Zhou(周格), Fei Luo(罗飞), Jieyun Zheng(郑杰允), Xiqian Yu(禹习谦), Hong Li(李泓) |
|
|
Influence of carbon coating on the electrochemical performance of SiO@C/graphite composite anode materials |
|
|
|
Chin. Phys. B
2019 Vol.28 (6): 68201-068201
[Abstract]
(754)
[HTML 1 KB]
[PDF 2186 KB]
(224)
|
|
66804 |
Ya-Mei Dou(窦亚梅), Wei-Hua Han(韩伟华), Yang-Yan Guo(郭仰岩), Xiao-Song Zhao(赵晓松), Xiao-Di Zhang(张晓迪), Xin-Yu Wu(吴歆宇), Fu-Hua Yang(杨富华) |
|
|
Temperature-dependent subband mobility characteristics in n-doped silicon junctionless nanowire transistor |
|
|
|
Chin. Phys. B
2019 Vol.28 (6): 66804-066804
[Abstract]
(603)
[HTML 1 KB]
[PDF 600 KB]
(116)
|
|
128501 |
Xin Xie(解鑫), Da-Wei Bi(毕大伟), Zhi-Yuan Hu(胡志远), Hui-Long Zhu(朱慧龙), Meng-Ying Zhang(张梦映), Zheng-Xuan Zhang(张正选), Shi-Chang Zou(邹世昌) |
|
|
Influence of characteristics' measurement sequence on total ionizing dose effect in PDSOI nMOSFET |
|
|
|
Chin. Phys. B
2018 Vol.27 (12): 128501-128501
[Abstract]
(611)
[HTML 1 KB]
[PDF 1013 KB]
(141)
|
|
128105 |
Fu-Hui Shao(邵福会), Yi Zhang(张一), Xiang-Bin Su(苏向斌), Sheng-Wen Xie(谢圣文), Jin-Ming Shang(尚金铭), Yun-Hao Zhao(赵云昊), Chen-Yuan Cai(蔡晨元), Ren-Chao Che(车仁超), Ying-Qiang Xu(徐应强), Hai-Qiao Ni(倪海桥), Zhi-Chuan Niu(牛智川) |
|
|
1.3-μm InAs/GaAs quantum dots grown on Si substrates |
|
|
|
Chin. Phys. B
2018 Vol.27 (12): 128105-128105
[Abstract]
(839)
[HTML 1 KB]
[PDF 5545 KB]
(216)
|
|
124208 |
Pei Yuan(袁配), Yue Wang(王玥), Yuan-Da Wu(吴远大), Jun-Ming An(安俊明), Xiong-Wei Hu(胡雄伟) |
|
|
16-channel dual-tuning wavelength division multiplexer/demultiplexer |
|
|
|
Chin. Phys. B
2018 Vol.27 (12): 124208-124208
[Abstract]
(598)
[HTML 1 KB]
[PDF 2082 KB]
(139)
|
|
113101 |
Jing Hu(胡静), Xiu-Neng Song(宋秀能), Sheng-Yu Wang(王胜雨), Juan Lin(林娟), Jun-Rong Zhang(张俊荣), Yong Ma(马勇) |
|
|
Landscape of s-triazine molecule on Si(100) by a theoretical x-ray photoelectron spectroscopy and x-ray absorption near-edge structure spectra study |
|
|
|
Chin. Phys. B
2018 Vol.27 (11): 113101-113101
[Abstract]
(732)
[HTML 1 KB]
[PDF 609 KB]
(159)
|
|
108201 |
Guo-Jun Xu(徐国军), Chen-Xin Jin(金晨鑫), Kai-Jie Kong(孔凯捷), Xi-Xi Yang(杨西西), Zhi-Hao Yue(岳之浩), Xiao-Min Li(李晓敏), Fu-Gen Sun(孙福根), Hai-Bin Huang(黄海宾), Lang Zhou(周浪) |
|
|
Performance of n-type silicon/silver composite anode material in lithium ion batteries: A study on effect of work function matching degree |
|
|
|
Chin. Phys. B
2018 Vol.27 (10): 108201-108201
[Abstract]
(588)
[HTML 1 KB]
[PDF 1979 KB]
(140)
|
|
104208 |
Jingshu Guo(郭敬书), Daoxin Dai(戴道锌) |
|
|
Silicon nanophotonics for on-chip light manipulation |
|
|
|
Chin. Phys. B
2018 Vol.27 (10): 104208-104208
[Abstract]
(965)
[HTML 1 KB]
[PDF 2920 KB]
(622)
|
|
108502 |
Xi Wang(王曦), Hong-Bin Pu(蒲红斌), Qing Liu(刘青), Li-Qi An(安丽琪) |
|
|
Shortening turn-on delay of SiC light triggered thyristor by 7-shaped thin n-base doping profile |
|
|
|
Chin. Phys. B
2018 Vol.27 (10): 108502-108502
[Abstract]
(665)
[HTML 1 KB]
[PDF 741 KB]
(150)
|
|
87304 |
Xin Ye(叶鑫), Xiao-Chuan Xia(夏晓川), Hong-Wei Liang(梁红伟), Zhuo Li(李卓), He-Qiu Zhang(张贺秋), Guo-Tong Du(杜国同), Xing-Zhu Cui(崔兴柱), Xiao-Hua Liang(梁晓华) |
|
|
Effect of Au/Ni/4H-SiC Schottky junction thermal stability on performance of alpha particle detection |
|
|
|
Chin. Phys. B
2018 Vol.27 (8): 87304-087304
[Abstract]
(583)
[HTML 0 KB]
[PDF 735 KB]
(160)
|
|
87102 |
Xue-Qian Zhong(仲雪倩), Jue Wang(王珏), Bao-Zhu Wang(王宝柱), Heng-Yu Wang(王珩宇), Qing Guo(郭清), Kuang Sheng(盛况) |
|
|
Investigations on mesa width design for 4H-SiC trench super junction Schottky diodes |
|
|
|
Chin. Phys. B
2018 Vol.27 (8): 87102-087102
[Abstract]
(825)
[HTML 0 KB]
[PDF 1573 KB]
(269)
|
|
88201 |
Bonan Liu(刘柏男), Hao Lu(陆浩), Geng Chu(褚赓), Fei Luo(罗飞), Jieyun Zheng(郑杰允), Shimou Chen(陈仕谋), Hong Li(李泓) |
|
|
Size effect of Si particles on the electrochemical performances of Si/C composite anodes |
|
|
|
Chin. Phys. B
2018 Vol.27 (8): 88201-088201
[Abstract]
(721)
[HTML 1 KB]
[PDF 3369 KB]
(240)
|
|
86802 |
Ji-Zhou Li(李纪周), Wei Zhang(张伟), Jing-Yuan Yan(鄢靖源), Cong Wang(王聪), Hong-Fei Chen(陈宏飞), Xiao-Yuan Chen(陈小源), Dong-Fang Liu(刘东方) |
|
|
Fabrication of seeded substrates for layer transferrable silicon films |
|
|
|
Chin. Phys. B
2018 Vol.27 (8): 86802-086802
[Abstract]
(685)
[HTML 1 KB]
[PDF 2529 KB]
(144)
|
|
78801 |
Shiqi Xiao(肖仕奇), Qingxia Fan(范庆霞), Xiaogang Xia(夏晓刚), Zhuojian Xiao(肖卓建), Huiliang Chen(陈辉亮), Wei Xi(席薇), Penghui Chen(陈鹏辉), Junjie Li(李俊杰), Yanchun Wang(王艳春), Huaping Liu(刘华平), Weiya Zhou(周维亚) |
|
|
Dependence of the solar cell performance on nanocarbon/Si heterojunctions |
|
|
|
Chin. Phys. B
2018 Vol.27 (7): 78801-078801
[Abstract]
(493)
[HTML 1 KB]
[PDF 1270 KB]
(176)
|
|
68801 |
Lei Zhang(张磊), Peng Liang(梁鹏), Hui-Shi Zhu(朱慧时), Pei-De Han(韩培德) |
|
|
Detection of finger interruptions in silicon solar cells using photoluminescence imaging |
|
|
|
Chin. Phys. B
2018 Vol.27 (6): 68801-068801
[Abstract]
(818)
[HTML 1 KB]
[PDF 1581 KB]
(276)
|
|
58801 |
Yao-Ju Zhang(张耀举), Yi-Jie Li(李艺杰), Jie Lin(林洁), Chao-Long Fang(方朝龙), Si-Yuan Liu(刘思远) |
|
|
Application of millimeter-sized polymer cylindrical lens array concentrators in solar cells |
|
|
|
Chin. Phys. B
2018 Vol.27 (5): 58801-058801
[Abstract]
(815)
[HTML 1 KB]
[PDF 1163 KB]
(268)
|
|
48503 |
Li-Hua Dai(戴丽华), Da-Wei Bi(毕大炜), Zhi-Yuan Hu(胡志远), Xiao-Nian Liu(刘小年), Meng-Ying Zhang(张梦映), Zheng-Xuan Zhang(张正选), Shi-Chang Zou(邹世昌) |
|
|
Research on the radiation hardened SOI devices with single-step Si ion implantation |
|
|
|
Chin. Phys. B
2018 Vol.27 (4): 48503-048503
[Abstract]
(877)
[HTML 1 KB]
[PDF 1624 KB]
(269)
|
|
48501 |
Ya-Jie Feng(丰亚洁), Chong Li(李冲), Qiao-Li Liu(刘巧莉), Hua-Qiang Wang(王华强), An-Qi Hu(胡安琪), Xiao-Ying He(何晓颖), Xia Guo(郭霞) |
|
|
Scalability of dark current in silicon PIN photodiode |
|
|
|
Chin. Phys. B
2018 Vol.27 (4): 48501-048501
[Abstract]
(688)
[HTML 1 KB]
[PDF 631 KB]
(265)
|
|
38502 |
Haibin Huang(黄海宾), Gangyu Tian(田罡煜), Lang Zhou(周浪), Jiren Yuan(袁吉仁), Wolfgang R. Fahrner, Wenbin Zhang(张闻斌), Xingbing Li(李杏兵), Wenhao Chen(陈文浩), Renzhong Liu(刘仁中) |
|
|
Simulation and experimental study of a novel bifacial structure of silicon heterojunction solar cell for high efficiency and low cost |
|
|
|
Chin. Phys. B
2018 Vol.27 (3): 38502-038502
[Abstract]
(619)
[HTML 1 KB]
[PDF 2742 KB]
(331)
|
|
38101 |
Zongchun Yang(仰宗春), Yingshuang Mei(梅盈爽), Chengke Chen(陈成克), Yinlan Ruan(阮银兰), Xiaojun Hu(胡晓君) |
|
|
Synthesis of strong SiV photoluminescent diamond particles on silica optical fiber by chemical vapor deposition |
|
|
|
Chin. Phys. B
2018 Vol.27 (3): 38101-038101
[Abstract]
(464)
[HTML 0 KB]
[PDF 2023 KB]
(226)
|
|
37102 |
Yunliang Yue(乐云亮), Yu Song(宋宇), Xu Zuo(左旭) |
|
|
First-principles investigations of proton generation in α-quartz |
|
|
|
Chin. Phys. B
2018 Vol.27 (3): 37102-037102
[Abstract]
(651)
[HTML 1 KB]
[PDF 4927 KB]
(318)
|
|
36801 |
Hai-Peng Li(李海鹏), Rui-Qin Zhang(张瑞勤) |
|
|
Surface effects on the thermal conductivity of silicon nanowires |
|
|
|
Chin. Phys. B
2018 Vol.27 (3): 36801-036801
[Abstract]
(667)
[HTML 1 KB]
[PDF 4243 KB]
(341)
|
|
28501 |
Meng-Ying Zhang(张梦映), Zhi-Yuan Hu(胡志远), Da-Wei Bi(毕大炜), Li-Hua Dai(戴丽华), Zheng-Xuan Zhang(张正选) |
|
|
Enhanced radiation-induced narrow channel effects in 0.13-μm PDSOI nMOSFETs with shallow trench isolation |
|
|
|
Chin. Phys. B
2018 Vol.27 (2): 28501-028501
[Abstract]
(693)
[HTML 0 KB]
[PDF 469 KB]
(352)
|
|
27802 |
Dong-Wei Zhai(翟东为), Hai-Ling Liu(刘海玲), Xxx Sedao, Yu-Ping Yang(杨玉平) |
|
|
Optically induced abnormal terahertz absorption in black silicon |
|
|
|
Chin. Phys. B
2018 Vol.27 (2): 27802-027802
[Abstract]
(775)
[HTML 0 KB]
[PDF 737 KB]
(215)
|
|
116102 |
Zhi-Chao Jia(贾志超), Ze-Wen Li(李泽文), Jie Zhou(周洁), Xiao-Wu Ni(倪晓武) |
|
|
Slip on the surface of silicon wafers under laser irradiation:Scale effect |
|
|
|
Chin. Phys. B
2017 Vol.26 (11): 116102-116102
[Abstract]
(630)
[HTML 1 KB]
[PDF 1764 KB]
(177)
|
|
108505 |
Xi Wang(王曦), Hongbin Pu(蒲红斌), Qing Liu(刘青), Chunlan Chen(陈春兰), Zhiming Chen(陈治明) |
|
|
Injection modulation of p+–n emitter junction in 4H–SiC light triggered thyristor by double-deck thin n-base |
|
|
|
Chin. Phys. B
2017 Vol.26 (10): 108505-108505
[Abstract]
(633)
[HTML 0 KB]
[PDF 374 KB]
(270)
|
|
108801 |
Hadi Bashiri, Mohammad Azim Karami, Shahramm Mohammadnejad |
|
|
Improvement in IBC-silicon solar cell performance by insertion of highly doped crystalline layer at heterojunction interfaces |
|
|
|
Chin. Phys. B
2017 Vol.26 (10): 108801-108801
[Abstract]
(581)
[HTML 1 KB]
[PDF 389 KB]
(236)
|
|
98505 |
Wei-Wei Yan(闫薇薇), Lin-Chun Gao(高林春), Xiao-Jing Li(李晓静), Fa-Zhan Zhao(赵发展), Chuan-Bin Zeng(曾传滨), Jia-Jun Luo(罗家俊), Zheng-Sheng Han(韩郑生) |
|
|
Experimental and simulation studies of single-event transient in partially depleted SOI MOSFET |
|
|
|
Chin. Phys. B
2017 Vol.26 (9): 98505-098505
[Abstract]
(568)
[HTML 0 KB]
[PDF 2992 KB]
(249)
|
|
96103 |
Qiwen Zheng(郑齐文), Jiangwei Cui(崔江维), Mengxin Liu(刘梦新), Dandan Su(苏丹丹), Hang Zhou(周航), Teng Ma(马腾), Xuefeng Yu(余学峰), Wu Lu(陆妩), Qi Guo(郭旗), Fazhan Zhao(赵发展) |
|
|
Direct measurement and analysis of total ionizing dose effect on 130 nm PD SOI SRAM cell static noise margin |
|
|
|
Chin. Phys. B
2017 Vol.26 (9): 96103-096103
[Abstract]
(656)
[HTML 0 KB]
[PDF 432 KB]
(303)
|
|
98102 |
Jiao-Jiao Liu(刘娇娇), Qi Chang(常琪), Mei-Mei Bao(鲍美美), Bing Yuan(元冰), Kai Yang(杨恺), Yu-Qiang Ma(马余强) |
|
|
Silicon quantum dots delivered phthalocyanine for fluorescence guided photodynamic therapy of tumor |
|
|
|
Chin. Phys. B
2017 Vol.26 (9): 98102-098102
[Abstract]
(623)
[HTML 1 KB]
[PDF 7482 KB]
(304)
|
|
87802 |
Shuai Jiang(姜帅), Rui Jia(贾锐), Ke Tao(陶科), Caixia Hou(侯彩霞), Hengchao Sun(孙恒超), Zhiyong Yu(于志泳), Yongtao Li(李勇滔) |
|
|
Studies on the polycrystalline silicon/SiO2 stack as front surface field for IBC solar cells by two-dimensional simulations |
|
|
|
Chin. Phys. B
2017 Vol.26 (8): 87802-087802
[Abstract]
(632)
[HTML 1 KB]
[PDF 1007 KB]
(394)
|
|
88503 |
Pei Li(李培), Mo-Han Liu(刘默寒), Chao-Hui He(贺朝会), Hong-Xia Guo(郭红霞), Jin-Xin Zhang(张晋新), Ting Ma(马婷) |
|
|
An investigation of ionizing radiation damage in different SiGe processes |
|
|
|
Chin. Phys. B
2017 Vol.26 (8): 88503-088503
[Abstract]
(709)
[HTML 1 KB]
[PDF 2300 KB]
(318)
|
|
68802 |
Zhi Qiao(乔治), Jian-Li Ji(冀建利), Yan-Li Zhang(张彦立), Hu Liu(刘虎), Tong-Kai Li(李同锴) |
|
|
Influence of interface states, conduction band offset, and front contact on the performance of a-SiC: H(n)/c-Si(p) heterojunction solar cells |
|
|
|
Chin. Phys. B
2017 Vol.26 (6): 68802-068802
[Abstract]
(701)
[HTML 1 KB]
[PDF 392 KB]
(496)
|
|
65207 |
Jia-Min Guo(郭佳敏), Chao Ye(叶超), Xiang-Ying Wang(王响英), Pei-FangYang(杨培芳), Su Zhang(张苏) |
|
|
Effect of driving frequency on the structure of silicon grown on Ag (111) films by very-high-frequency magnetron sputtering |
|
|
|
Chin. Phys. B
2017 Vol.26 (6): 65207-065207
[Abstract]
(663)
[HTML 1 KB]
[PDF 2193 KB]
(231)
|
|
48104 |
You-Peng Xiao(肖友鹏), Xiu-Qin Wei(魏秀琴), Lang Zhou(周浪) |
|
|
Interface states study of intrinsic amorphous silicon for crystalline silicon surface passivation in HIT solar cell |
|
|
|
Chin. Phys. B
2017 Vol.26 (4): 48104-048104
[Abstract]
(612)
[HTML 1 KB]
[PDF 367 KB]
(348)
|
|
47309 |
Yan-Jing Li(李彦景), Ya-Lin Li(李亚林), Shu-Long Li(李树龙), Pei Gong(龚裴), Xiao-Yong Fang(房晓勇) |
|
|
Structural, electronic, and optical properties of hexagonal and triangular SiC NWs with different diameters |
|
|
|
Chin. Phys. B
2017 Vol.26 (4): 47309-047309
[Abstract]
(659)
[HTML 1 KB]
[PDF 1555 KB]
(363)
|
|
37104 |
Wenbo Li(李文波), Ling Li(李玲), Fangfang Wang(王方方), Liu Zheng(郑柳), Jinghua Xia(夏经华), Fuwen Qin(秦福文), Xiaolin Wang(王晓琳), Yongping Li(李永平), Rui Liu(刘瑞), Dejun Wang(王德君), Yan Pan(潘艳), Fei Yang(杨霏) |
|
|
Passivation effects of phosphorus on 4H-SiC (0001) Si dangling bonds: A first-principles study |
|
|
|
Chin. Phys. B
2017 Vol.26 (3): 37104-037104
[Abstract]
(710)
[HTML 1 KB]
[PDF 1782 KB]
(589)
|
|
36103 |
Shuang Fan(樊双), Zhi-Yuan Hu(胡志远), Zheng-Xuan Zhang(张正选), Bing-Xu Ning(宁冰旭), Da-Wei Bi(毕大炜), Li-Hua Dai(戴丽华), Meng-Ying Zhang(张梦映), Le-Qing Zhang(张乐情) |
|
|
Total ionizing dose induced single transistor latchup in 130-nm PDSOI input/output NMOSFETs |
|
|
|
Chin. Phys. B
2017 Vol.26 (3): 36103-036103
[Abstract]
(662)
[HTML 1 KB]
[PDF 721 KB]
(418)
|
|
17701 |
Wei Li(李威), Zhi Zheng(郑直), Zhigang Wang(汪志刚), Ping Li(李平), Xiaojun Fu(付晓君), Zhengrong He(何峥嵘), Fan Liu(刘凡), Feng Yang(杨丰), Fan Xiang(向凡), Luncai Liu(刘伦才) |
|
|
A novel P-channel SOI LDMOS structure with non-depletion potential-clamped layer |
|
|
|
Chin. Phys. B
2017 Vol.26 (1): 17701-017701
[Abstract]
(705)
[HTML 1 KB]
[PDF 1290 KB]
(515)
|
|
128501 |
Li-Zhong Zhang(张立忠), Yuan Wang(王源), Yan-Dong He(何燕冬) |
|
|
Structure-dependent behaviors of diode-triggered silicon controlled rectifier under electrostatic discharge stress |
|
|
|
Chin. Phys. B
2016 Vol.25 (12): 128501-128501
[Abstract]
(709)
[HTML 1 KB]
[PDF 2266 KB]
(289)
|
|
118503 |
Kai Lu(吕凯), Jing Chen(陈静), Yuping Huang(黄瑜萍), Jun Liu(刘军), Jiexin Luo(罗杰馨), Xi Wang(王曦) |
|
|
Ultra-low temperature radio-frequency performance of partially depleted silicon-on-insulator n-type metal-oxide-semiconductor field-effect transistors with tunnel diode body contact structures |
|
|
|
Chin. Phys. B
2016 Vol.25 (11): 118503-118503
[Abstract]
(559)
[HTML 1 KB]
[PDF 1579 KB]
(307)
|
|
118501 |
Hai-Yan Kang(康海燕), Hui-Yong Hu(胡辉勇), Bin Wang(王斌) |
|
|
Analytical threshold voltage model for strained silicon GAA-TFET |
|
|
|
Chin. Phys. B
2016 Vol.25 (11): 118501-118501
[Abstract]
(705)
[HTML 0 KB]
[PDF 354 KB]
(303)
|
|
118401 |
Xiaoxian Liu(刘晓贤), Zhangming Zhu(朱樟明), Yintang Yang(杨银堂), Ruixue Ding(丁瑞雪), Yuejin Li(李跃进) |
|
|
Parasitic effects of air-gap through-silicon vias in high-speed three-dimensional integrated circuits |
|
|
|
Chin. Phys. B
2016 Vol.25 (11): 118401-118401
[Abstract]
(591)
[HTML 0 KB]
[PDF 318 KB]
(298)
|
|
118801 |
Yanjiao Shen(沈艳娇), Jianhui Chen(陈剑辉), Jing Yang(杨静), Bingbing Chen(陈兵兵), Jingwei Chen(陈静伟), Feng Li(李峰), Xiuhong Dai(代秀红), Haixu Liu(刘海旭), Ying Xu(许颖), Yaohua Mai(麦耀华) |
|
|
Control of epitaxial growth at a-Si: H/c-Si heterointerface by the working pressure in PECVD |
|
|
|
Chin. Phys. B
2016 Vol.25 (11): 118801-118801
[Abstract]
(731)
[HTML 1 KB]
[PDF 1393 KB]
(394)
|
|
117701 |
Da Chen(陈达), Shi-Hua Huang(黄仕华) |
|
|
Threshold resistance switching in silicon-rich SiOx thin films |
|
|
|
Chin. Phys. B
2016 Vol.25 (11): 117701-117701
[Abstract]
(534)
[HTML 1 KB]
[PDF 447 KB]
(427)
|
|
106701 |
Xiao-Min Gu(顾晓敏), Wei Wang(王伟), Guo-Tai Zhou(周国泰), Kai-Ge Gao(高凯歌), Hong-Ling Cai(蔡宏灵), Feng-Ming Zhang(张凤鸣), Xiao-Shan Wu(吴小山) |
|
|
Effects of Si surficial structure on transport properties of La2/3Sr1/3MnO3 films |
|
|
|
Chin. Phys. B
2016 Vol.25 (10): 106701-106701
[Abstract]
(606)
[HTML 1 KB]
[PDF 1280 KB]
(320)
|
|
97304 |
Jie Yu(于杰), Kun-ji Chen(陈坤基), Zhong-yuan Ma(马忠元), Xin-xin Zhang(张鑫鑫), Xiao-fan Jiang(江小帆), Yang-qing Wu(吴仰晴), Xin-fan Huang(黄信凡), Shunri Oda |
|
|
Scaling dependence of memory windows and different carrier charging behaviors in Si nanocrystal nonvolatile memory devices |
|
|
|
Chin. Phys. B
2016 Vol.25 (9): 97304-097304
[Abstract]
(625)
[HTML 1 KB]
[PDF 1151 KB]
(302)
|
|
88503 |
Yu-Rong Liu(刘玉荣), Gao-Wei Zhao(赵高位), Pai-To Lai(黎沛涛), Ruo-He Yao(姚若河) |
|
|
Improvement in the electrical performance and bias-stress stability of dual-active-layered silicon zinc oxide/zinc oxide thin-film transistor |
|
|
|
Chin. Phys. B
2016 Vol.25 (8): 88503-088503
[Abstract]
(634)
[HTML 1 KB]
[PDF 1344 KB]
(552)
|
|
87201 |
Xiao-Chuan Deng(邓小川), Xi-Xi Chen(陈茜茜), Cheng-Zhan Li(李诚瞻), Hua-Jun Shen(申华军), Jin-Ping Zhang(张金平) |
|
|
Numerical and experimental study of the mesa configuration in high-voltage 4H-SiC PiN rectifiers |
|
|
|
Chin. Phys. B
2016 Vol.25 (8): 87201-087201
[Abstract]
(638)
[HTML 1 KB]
[PDF 1372 KB]
(469)
|
|
78501 |
Bingqing Xie(解冰清), Bo Li(李博), Jinshun Bi(毕津顺), Jianhui Bu(卜建辉), Chi Wu(吴驰), Binhong Li(李彬鸿), Zhengsheng Han(韩郑生), Jiajun Luo(罗家俊) |
|
|
Effect of cryogenic temperature characteristics on 0.18-μm silicon-on-insulator devices |
|
|
|
Chin. Phys. B
2016 Vol.25 (7): 78501-078501
[Abstract]
(1003)
[HTML 1 KB]
[PDF 612 KB]
(513)
|
|
78109 |
Naigen Zhou(周耐根), Bo Liu(刘博), Chi Zhang(张弛), Ke Li(李克), Lang Zhou(周浪) |
|
|
Molecular dynamics study of anisotropic growth of silicon |
|
|
|
Chin. Phys. B
2016 Vol.25 (7): 78109-078109
[Abstract]
(661)
[HTML 1 KB]
[PDF 359 KB]
(353)
|
|
67102 |
Zhao-wen Yan(闫兆文), Jiao Wang(王娇), Jian-li Qiao(乔坚栗), Wen-jie Chen(谌文杰), Pan Yang(杨盼), Tong Xiao(肖彤), Jian-hong Yang(杨建红) |
|
|
Numerical simulation study of organic nonvolatile memory with polysilicon floating gate |
|
|
|
Chin. Phys. B
2016 Vol.25 (6): 67102-067102
[Abstract]
(732)
[HTML 1 KB]
[PDF 701 KB]
(323)
|
|
44210 |
Sha Li(李莎), Zhi-Guo Shi(石志国), Zhe Kang(康哲), Chong-Xiu Yu(余重秀), Jian-Ping Wang(王建萍) |
|
|
Strip silicon waveguide for code synchronization in all-optical analog-to-digital conversion based on a lumped time-delay compensation scheme |
|
|
|
Chin. Phys. B
2016 Vol.25 (4): 44210-044210
[Abstract]
(570)
[HTML 1 KB]
[PDF 556 KB]
(264)
|
|
40702 |
Wen-Jun Yan(闫文君), Ming Hu(胡明), Ji-Ran Liang(梁继然), Deng-Feng Wang(王登峰), Yu-Long Wei(魏玉龙), Yu-Xiang Qin(秦玉香) |
|
|
Preparation and room temperature NO2-sensing performances of porous silicon/V2O5 nanorods |
|
|
|
Chin. Phys. B
2016 Vol.25 (4): 40702-040702
[Abstract]
(577)
[HTML 1 KB]
[PDF 2226 KB]
(1288)
|
|
36103 |
Pengcheng Huang(黄鹏程), Shuming Chen(陈书明), Jianjun Chen(陈建军) |
|
|
Mechanism of floating body effect mitigation via cutting off source injection in a fully-depleted silicon-on-insulator technology |
|
|
|
Chin. Phys. B
2016 Vol.25 (3): 36103-036103
[Abstract]
(673)
[HTML 0 KB]
[PDF 663 KB]
(325)
|
|
26201 |
Hui Zheng(郑辉), Man-Gui Han(韩满贵), Long-Jiang Deng(邓龙江) |
|
|
Fabrication of CoFe2O4 ferrite nanowire arrays in porous silicon template and their local magnetic properties |
|
|
|
Chin. Phys. B
2016 Vol.25 (2): 26201-026201
[Abstract]
(452)
[HTML 1 KB]
[PDF 1668 KB]
(585)
|
|
28401 |
Li Li(郦莉), Shi-Liang Wu(吴仕良), Dong Yu(虞栋), Wei Wang(王伟), Wen-Chao Liu(刘文超), Xiao-Shan Wu(吴小山), Feng-Ming Zhang(张凤鸣) |
|
|
Investigations of the optical properties of Si surface with microwires for solar cell applications |
|
|
|
Chin. Phys. B
2016 Vol.25 (2): 28401-028401
[Abstract]
(717)
[HTML 1 KB]
[PDF 1751 KB]
(326)
|
|
27305 |
Yu-Ru Wang(王裕如), Yi-He Liu(刘祎鹤), Zhao-Jiang Lin(林兆江), Dong Fang(方冬), Cheng-Zhou Li(李成州), Ming Qiao(乔明), Bo Zhang(张波) |
|
|
Modeling of a triple reduced surface field silicon-on-insulator lateral double-diffused metal-oxide-semiconductor field-effect transistor with low on-state resistance |
|
|
|
Chin. Phys. B
2016 Vol.25 (2): 27305-027305
[Abstract]
(774)
[HTML 1 KB]
[PDF 379 KB]
(380)
|
|
14601 |
Ting Zhu |
|
|
Mechanics of high-capacity electrodes in lithium-ion batteries |
|
|
|
Chin. Phys. B
2016 Vol.25 (1): 14601-014601
[Abstract]
(698)
[HTML 1 KB]
[PDF 1247 KB]
(732)
|
|
124209 |
Zhou Pei-Ji (周培基), Xing Jie-Jiang (邢界江), Li Xian-Yao (李显尧), Li Zhi-Yong (李智勇), Yu Jin-Zhong (余金中), Yu Yu-De (俞育德) |
|
|
Strictly non-blocking 4× 4 silicon electro-optic switch matrix |
|
|
|
Chin. Phys. B
2015 Vol.24 (12): 124209-124209
[Abstract]
(498)
[HTML 1 KB]
[PDF 607 KB]
(326)
|
|
106801 |
O. Semenova, A. Kozelskaya, Li Zhi-Yong, Yu Yu-De |
|
|
Mechanical strains in pecvd SiNx:H films for nanophotonic application |
|
|
|
Chin. Phys. B
2015 Vol.24 (10): 106801-106801
[Abstract]
(580)
[HTML 1 KB]
[PDF 621 KB]
(333)
|
|
94213 |
Wang Wei-Jie (王卫杰), Zhao Zhen-Guo (赵振国), Zhao Yi (赵艺), Zhou Hai-Jing (周海京), Fu Ce-Ji (符策基) |
|
|
Design and optimization of a SiC thermal emitter/absorber composed of periodic microstructures based on a non-linear method |
|
|
|
Chin. Phys. B
2015 Vol.24 (9): 94213-094213
[Abstract]
(726)
[HTML 1 KB]
[PDF 615 KB]
(319)
|
|
97801 |
Liu Jun-Yan (刘俊岩), Song Peng (宋鹏), Wang Fei (王飞), Wang Yang (王扬) |
|
|
Photocarrier radiometry for noncontact evaluation of space monocrystalline silicon solar cell under low-energy electron irradiation |
|
|
|
Chin. Phys. B
2015 Vol.24 (9): 97801-097801
[Abstract]
(667)
[HTML 1 KB]
[PDF 440 KB]
(288)
|
|
88503 |
Liu Yuan (刘远), Chen Hai-Bo (陈海波), Liu Yu-Rong (刘玉荣), Wang Xin (王信), En Yun-Fei (恩云飞), Li Bin (李斌), Lu Yu-Dong (陆裕东) |
|
|
Low frequency noise and radiation response in the partially depleted SOI MOSFETs with ion implanted buried oxide |
|
|
|
Chin. Phys. B
2015 Vol.24 (8): 88503-088503
[Abstract]
(540)
[HTML 1 KB]
[PDF 508 KB]
(327)
|
|
88501 |
Lü Kai (吕凯), Chen Jing (陈静), Luo Jie-Xin (罗杰馨), He Wei-Wei (何伟伟), Huang Jian-Qiang (黄建强), Chai Zhan (柴展), Wang Xi (王曦) |
|
|
Effects of back gate bias on radio-frequency performance in partially depleted silicon-on-inslator nMOSFETs |
|
|
|
Chin. Phys. B
2015 Vol.24 (8): 88501-088501
[Abstract]
(523)
[HTML 1 KB]
[PDF 291 KB]
(385)
|
|
87305 |
Huang Jie (黄杰), Li Ming (黎明), Zhao Qian (赵倩), Gu Wen-Wen (顾雯雯), Lau Kei-May (刘纪美) |
|
|
Hetero-epitaxy of Lg=0.13-μm metamorphic AlInAs/GaInAs HEMT on Si substrates by MOCVD for logic applications |
|
|
|
Chin. Phys. B
2015 Vol.24 (8): 87305-087305
[Abstract]
(712)
[HTML 1 KB]
[PDF 574 KB]
(401)
|
|
84205 |
Hang Wei-Qi (黄伟其), Dong Tai-Ge (董泰阁), Wang Gang (王刚), Liu Shi-Rong (刘世荣), Huang Zhong-Mei (黄忠梅), Miao Xin-Jian (苗信建), Lv Quan (吕泉), Qin Chao-Jian (秦朝建) |
|
|
Plasma induced by pulsed laser and fabrication of silicon nanostructures |
|
|
|
Chin. Phys. B
2015 Vol.24 (8): 84205-084205
[Abstract]
(626)
[HTML 1 KB]
[PDF 636 KB]
(326)
|
|
78104 |
Zhang Yong (张勇), Wang Ye-Liang (王业亮), Que Yan-De (阙炎德), Gao Hong-Jun (高鸿钧) |
|
|
Characterizing silicon intercalated graphene grown epitaxially on Ir films by atomic force microscopy |
|
|
|
Chin. Phys. B
2015 Vol.24 (7): 78104-078104
[Abstract]
(600)
[HTML 1 KB]
[PDF 493 KB]
(367)
|
|
68102 |
Tijjani Adam, U. HAshim, Th S. Dhahi |
|
|
Silicon nanowire formed via shallow anisotropic etching Si-ash-trimming for specific DNA and electrochemical detection |
|
|
|
Chin. Phys. B
2015 Vol.24 (6): 68102-068102
[Abstract]
(470)
[HTML 1 KB]
[PDF 727 KB]
(343)
|
|
67804 |
Liu Jun-Lin (刘军林), Zhang Jian-Li (张建立), Wang Guang-Xu (王光绪), Mo Chun-Lan (莫春兰), Xu Long-Quan (徐龙权), Ding Jie (丁杰), Quan Zhi-Jue (全知觉), Wang Xiao-Lan (王小兰), Pan Shuan (潘拴), Zheng Chang-Da (郑畅达), Wu Xiao-Ming (吴小明), Fang Wen-Qing (方文卿), Jiang Feng-Yi (江风益) |
|
|
Status of GaN-based green light-emitting diodes |
|
|
|
Chin. Phys. B
2015 Vol.24 (6): 67804-067804
[Abstract]
(625)
[HTML 1 KB]
[PDF 1200 KB]
(2172)
|
|
56802 |
Liu Yue (刘悦), Zhu Hao-Nan (朱浩楠), Pei Zi-Dong (裴子栋), Kong Yong-Fa (孔勇发), Xu Jing-Jun (许京军) |
|
|
Molecular dynamic simulations of surface morphology and pulsedlaser deposition growth of lithium niobate thin filmson silicon substrate |
|
|
|
Chin. Phys. B
2015 Vol.24 (5): 56802-056802
[Abstract]
(781)
[HTML 1 KB]
[PDF 1173 KB]
(450)
|
|
56601 |
Dong Gang (董刚), Shi Tao (石涛), Zhao Ying-Bo (赵颖博), Yang Yin-Tang (杨银堂) |
|
|
An analytical model of thermal mechanical stress induced by through silicon via |
|
|
|
Chin. Phys. B
2015 Vol.24 (5): 56601-056601
[Abstract]
(873)
[HTML 1 KB]
[PDF 1004 KB]
(377)
|
|
36801 |
Sun Gao-Di (孙高迪), Dong Lin-Xi (董林玺), Xue Zhong-Ying (薛忠营), Chen Da (陈达), Guo Qing-Lei (郭庆磊), Mu Zhi-Qiang (母志强) |
|
|
Strain analysis of free-standing strained silicon-on-insulator nanomembrane |
|
|
|
Chin. Phys. B
2015 Vol.24 (3): 36801-036801
[Abstract]
(657)
[HTML 0 KB]
[PDF 439 KB]
(536)
|
|
38801 |
Liang Peng (梁鹏), Han Pei-De (韩培德), Fan Yu-Jie (范玉洁), Xing Yu-Peng (邢宇鹏) |
|
|
Boron implanted emitter for n-type silicon solar cell |
|
|
|
Chin. Phys. B
2015 Vol.24 (3): 38801-038801
[Abstract]
(605)
[HTML 0 KB]
[PDF 484 KB]
(411)
|
|
17305 |
Fang Zhong-Hui (方忠慧), Jiang Xiao-Fan (江小帆), Chen Kun-Ji (陈坤基), Wang Yue-Fei (王越飞), Li Wei (李伟), Xu Jun (徐骏) |
|
|
Different charging behaviors between electrons and holes in Si nanocrystals embedded in SiNx matrix by the influence of near-interface oxide traps |
|
|
|
Chin. Phys. B
2015 Vol.24 (1): 17305-017305
[Abstract]
(524)
[HTML 0 KB]
[PDF 718 KB]
(482)
|
|
17802 |
Wang Zi-Wen (王子文), Cai Jia-Qi (蔡家琦), Wu Yi-Zhi (吴以治), Wang Hui-Jie (王会杰), Xu Xiao-Liang (许小亮) |
|
|
Ordered silicon nanorod arrays with controllable geometry and robust hydrophobicity |
|
|
|
Chin. Phys. B
2015 Vol.24 (1): 17802-017802
[Abstract]
(646)
[HTML 0 KB]
[PDF 416 KB]
(439)
|
|
10601 |
Li Shi-Song (李世松), Zhang Zhong-Hua (张钟华), Zhao Wei (赵伟), Li Zheng-Kun (李正坤), Huang Song-Ling (黄松岭) |
|
|
Progress on accurate measurement of the Planck constant: Watt balance and counting atoms |
|
|
|
Chin. Phys. B
2015 Vol.24 (1): 10601-010601
[Abstract]
(541)
[HTML 0 KB]
[PDF 2018 KB]
(559)
|
|
118801 |
Shen Ze-Nan (沈泽南), Xia Yang (夏洋), Liu Bang-Wu (刘邦武), Liu Jin-Hu (刘金虎), Li Chao-Bo (李超波), Li Yong-Tao (李勇滔) |
|
|
Realization of conformal doping on multicrystalline silicon solar cells and black silicon solar cells by plasma immersion ion implantation |
|
|
|
Chin. Phys. B
2014 Vol.23 (11): 118801-118801
[Abstract]
(612)
[HTML 1 KB]
[PDF 942 KB]
(823)
|
|
114206 |
Yang Biao (杨彪), Li Zhi-Yong (李智勇), Yu Yu-De (俞育德), Yu Jin-Zhong (余金中) |
|
|
High-efficiency focusing grating coupler with optimized ultra-short taper |
|
|
|
Chin. Phys. B
2014 Vol.23 (11): 114206-114206
[Abstract]
(614)
[HTML 1 KB]
[PDF 302 KB]
(490)
|
|
110204 |
Dong Xi-Xi (董曦曦), He Liang-Ju (何良菊), Mi Guang-Bao (弭光宝), Li Pei-Jie (李培杰) |
|
|
Dynamic investigation of the finite dissolution of silicon particles in aluminum melt with a lower dissolution limit |
|
|
|
Chin. Phys. B
2014 Vol.23 (11): 110204-110204
[Abstract]
(720)
[HTML 1 KB]
[PDF 626 KB]
(349)
|
|
96101 |
Liu Jing (刘静), Wang Jia-Ou (王嘉鸥), Yi Fu-Ting (伊福廷), Wu Rui (吴蕊), Zhang Nian (张念), Ibrahim Kurash (奎热西) |
|
|
Photoelectric characteristics of silicon P-N junction with nanopillar texture:Analysis of X-ray photoelectron spectroscopy |
|
|
|
Chin. Phys. B
2014 Vol.23 (9): 96101-096101
[Abstract]
(612)
[HTML 1 KB]
[PDF 844 KB]
(434)
|
|
93201 |
Liu Li (刘力), Yang Ting (杨婷), Dong Jian-Ji (董建绩) |
|
|
Microwave photonic filter with a continuously tunable central frequency using an SOI high-Q microdisk resonator |
|
|
|
Chin. Phys. B
2014 Vol.23 (9): 93201-093201
[Abstract]
(610)
[HTML 1 KB]
[PDF 419 KB]
(560)
|
|
98801 |
Wang Shuo (王烁), Zhang Xiao-Dan (张晓丹), Xiong Shao-Zhen (熊绍珍), Zhao Ying (赵颖) |
|
|
Structural properties of a-SiOx:H films studied by an improved infrared-transmission analysis method |
|
|
|
Chin. Phys. B
2014 Vol.23 (9): 98801-098801
[Abstract]
(527)
[HTML 1 KB]
[PDF 537 KB]
(594)
|
|
90702 |
Peng Chao (彭超), Hu Zhi-Yuan (胡志远), Ning Bing-Xu (宁冰旭), Huang Hui-Xiang (黄辉祥), Fan Shuang (樊双), Zhang Zheng-Xuan (张正选), Bi Da-Wei (毕大炜), En Yun-Fei (恩云飞) |
|
|
Utilizing a shallow trench isolation parasitic transistor to characterize the total ionizing dose effect of partially-depleted silicon-on-insulator input/output n-MOSFETs |
|
|
|
Chin. Phys. B
2014 Vol.23 (9): 90702-090702
[Abstract]
(527)
[HTML 1 KB]
[PDF 531 KB]
(1018)
|
|
97308 |
Jiang Chao (蒋超), Lu Hai (陆海), Chen Dun-Jun (陈敦军), Ren Fang-Fang (任芳芳), Zhang Rong (张荣), Zheng You-Dou (郑有炓) |
|
|
Breakdown characteristics of AlGaN/GaN Schottky barrier diodes fabricated on a silicon substrate |
|
|
|
Chin. Phys. B
2014 Vol.23 (9): 97308-097308
[Abstract]
(735)
[HTML 1 KB]
[PDF 1081 KB]
(770)
|
|
88505 |
Bi Jin-Shun (毕津顺), Zeng Chuan-Bin (曾传滨), Gao Lin-Chun (高林春), Liu Gang (刘刚), Luo Jia-Jun (罗家俊), Han Zheng-Sheng (韩郑生) |
|
|
Estimation of pulsed laser-induced single event transient in a partially depleted silicon-on-insulator 0.18-μm MOSFET |
|
|
|
Chin. Phys. B
2014 Vol.23 (8): 88505-088505
[Abstract]
(658)
[HTML 1 KB]
[PDF 942 KB]
(428)
|
|
88102 |
Chang Huan (常欢), Sun Shu-Qing (孙树清) |
|
|
Silicon nanoparticles:Preparation, properties, and applications |
|
|
|
Chin. Phys. B
2014 Vol.23 (8): 88102-088102
[Abstract]
(600)
[HTML 1 KB]
[PDF 2101 KB]
(15354)
|
|
88101 |
Wei Zhi-Xiang (魏志祥), Zhu Xing (朱星) |
|
|
Introduction to ChinaNANO 2013 |
|
|
|
Chin. Phys. B
2014 Vol.23 (8): 88101-088101
[Abstract]
(573)
[HTML 1 KB]
[PDF 101 KB]
(560)
|
|
86501 |
Guo Yu (郭钰), Guo Li-Wei (郭丽伟), Lu Wei (芦伟), Huang Jiao (黄郊), Jia Yu-Ping (贾玉萍), Sun Wei (孙伟), Li Zhi-Lin (李治林), Wang Yi-Fei (王逸非) |
|
|
Influence of defects in SiC (0001) on epitaxial graphene |
|
|
|
Chin. Phys. B
2014 Vol.23 (8): 86501-086501
[Abstract]
(585)
[HTML 1 KB]
[PDF 4242 KB]
(358)
|
|
87307 |
Li Yong (李勇), Wang Ling-Li (王伶俐), Wang Xiao-Bo (王小波), Yan Ling-Ling (闫玲玲), Su Li-Xia (苏丽霞), Tian Yong-Tao (田永涛), Li Xin-Jian (李新建) |
|
|
Forward and reverse electron transport properties across a CdS/Si multi-interface nanoheterojunction |
|
|
|
Chin. Phys. B
2014 Vol.23 (8): 87307-087307
[Abstract]
(620)
[HTML 1 KB]
[PDF 689 KB]
(416)
|
|
73201 |
Liao Sha-Sha (廖莎莎), Yang Ting (杨婷), Dong Jian-Ji (董建绩) |
|
|
On-chip optical pulse shaper for arbitrary waveform generation |
|
|
|
Chin. Phys. B
2014 Vol.23 (7): 73201-073201
[Abstract]
(589)
[HTML 1 KB]
[PDF 453 KB]
(385)
|
|
77504 |
Xiao Ren-Zheng (肖仁政), Zhang Zao-Di (张早娣), Vasiliy O. Pelenovich, Wang Ze-Song (王泽松), Zhang Rui (张瑞), Li Hui (李慧), Liu Yong (刘雍), Huang Zhi-Hong (黄志宏), Fu De-Jun (付德君) |
|
|
Degradation of ferroelectric and weak ferromagnetic properties of BiFeO3 films due to the diffusion of silicon atoms |
|
|
|
Chin. Phys. B
2014 Vol.23 (7): 77504-077504
[Abstract]
(538)
[HTML 1 KB]
[PDF 1006 KB]
(1059)
|
|
77201 |
Chen Si-Zhe (陈思哲), Sheng Kuang (盛况) |
|
|
Design consideration and fabrication of 1.2-kV 4H-SiC trenched-and-implanted vertical junction field-effect transistors |
|
|
|
Chin. Phys. B
2014 Vol.23 (7): 77201-077201
[Abstract]
(603)
[HTML 1 KB]
[PDF 783 KB]
(487)
|
|
76102 |
Pi Xiao-Dong (皮孝东), Wang Rong (王蓉), Yang De-Ren (杨德仁) |
|
|
Quantum confinement and surface chemistry of 0.8-1.6 nm hydrosilylated silicon nanocrystals |
|
|
|
Chin. Phys. B
2014 Vol.23 (7): 76102-076102
[Abstract]
(472)
[HTML 1 KB]
[PDF 1720 KB]
(426)
|
|
65201 |
Xu Dong-Sheng (徐东升), Zou Shuai (邹帅), Xin Yu (辛煜), Su Xiao-Dong (苏晓东), Wang Xu-Sheng (王栩生) |
|
|
Characteristics of dual-frequency capacitively coupled SF6/O2 plasma and plasma texturing of multi-crystalline silicon |
|
|
|
Chin. Phys. B
2014 Vol.23 (6): 65201-065201
[Abstract]
(602)
[HTML 1 KB]
[PDF 1047 KB]
(699)
|
|
67304 |
Liu Yang (刘阳), Liang Pei (梁培), Shu Hai-Bo (舒海波), Cao Dan (曹丹), Dong Qian-Min (董前民), Wang Le (王乐) |
|
|
Vacancy effect on the doping of silicon nanowires:A first-principles study |
|
|
|
Chin. Phys. B
2014 Vol.23 (6): 67304-067304
[Abstract]
(750)
[HTML 1 KB]
[PDF 1246 KB]
(488)
|
|
67101 |
Hu Sheng-Dong (胡盛东), Wu Xing-He (武星河), Zhu Zhi (朱志), Jin Jing-Jing (金晶晶), Chen Yin-Hui (陈银晖) |
|
|
Partial-SOI high voltage laterally double-diffused MOS with a partially buried n+-layer |
|
|
|
Chin. Phys. B
2014 Vol.23 (6): 67101-067101
[Abstract]
(596)
[HTML 1 KB]
[PDF 1752 KB]
(609)
|
|
54302 |
Zhang Zhe (张喆), Chen Gong (陈功), Zhang Dong (章东) |
|
|
Molecular structure dependence of acoustic nonlinearity parameter B/A for silicone oils |
|
|
|
Chin. Phys. B
2014 Vol.23 (5): 54302-054302
[Abstract]
(463)
[HTML 1 KB]
[PDF 232 KB]
(329)
|
|
57102 |
Yuan Hao (袁昊), Tang Xiao-Yan (汤晓燕), Zhang Yi-Men (张义门), Zhang Yu-Ming (张玉明), Song Qing-Wen (宋庆文), Yang Fei (杨霏), Wu Hao (吴昊) |
|
|
4H-SiC Schottky barrier diodes with semi-insulating polycrystalline silicon field plate termination |
|
|
|
Chin. Phys. B
2014 Vol.23 (5): 57102-057102
[Abstract]
(623)
[HTML 1 KB]
[PDF 437 KB]
(581)
|
|
58103 |
Zeng Peng (曾鹏), Zhang Ping (张平), Hu Ming (胡明), Ma Shuang-Yun (马双云), Yan Wen-Jun (闫文君) |
|
|
Synthesis and room-temperature NO2 gas sensing properties of a WO3 nanowires/porous silicon hybrid structure |
|
|
|
Chin. Phys. B
2014 Vol.23 (5): 58103-058103
[Abstract]
(524)
[HTML 1 KB]
[PDF 2449 KB]
(619)
|
|
57303 |
Zhang Yong-Ping (张永平), Chen Zhi-Zhan (陈之战), Lu Wu-Yue (卢吴越), Tan Jia-Hui (谈嘉慧), Cheng Yue (程越), Shi Wang-Zhou (石旺舟) |
|
|
Effect of additional silicon on titanium/4H-SiC contacts properties |
|
|
|
Chin. Phys. B
2014 Vol.23 (5): 57303-057303
[Abstract]
(515)
[HTML 1 KB]
[PDF 1338 KB]
(391)
|
|
53101 |
Li Rui (李瑞), Zhang Xiao-Mei (张晓美), Jin Ming-Xing (金明星), Xu Hai-Feng (徐海峰), Yan Bing (闫冰) |
|
|
Spectroscopic properties and radiative lifetimes of SiTe:A high-level multireference configuration interaction investigation |
|
|
|
Chin. Phys. B
2014 Vol.23 (5): 53101-053101
[Abstract]
(581)
[HTML 1 KB]
[PDF 396 KB]
(360)
|
|
44302 |
Wu Shao-Hua (吴少华), Du Li-Dong (杜利东), Kong De-Yi (孔德义), Ping Hao-Yue (平皓月), Fang Zhen (方震), Zhao Zhan (赵湛) |
|
|
Hybrid device for acoustic noise reduction and energy harvesting based on a silicon micro-perforated panel structure |
|
|
|
Chin. Phys. B
2014 Vol.23 (4): 44302-044302
[Abstract]
(554)
[HTML 1 KB]
[PDF 397 KB]
(382)
|
|
47306 |
Liu Ju (刘菊), Zhong Xiao-Lan (钟晓岚), Li Zhi-Yuan (李志远) |
|
|
Enhanced light absorption of silicon in the near-infrared band by designed gold nanostructures |
|
|
|
Chin. Phys. B
2014 Vol.23 (4): 47306-047306
[Abstract]
(606)
[HTML 1 KB]
[PDF 728 KB]
(588)
|
|
38402 |
Qian Li-Bo (钱利波), Zhu Zhang-Ming (朱樟明), Xia Yin-Shui (夏银水), Ding Rui-Xue (丁瑞雪), Yang Yin-Tang (杨银堂) |
|
|
Through-silicon-via crosstalk model and optimization design for three-dimensional integrated circuits |
|
|
|
Chin. Phys. B
2014 Vol.23 (3): 38402-038402
[Abstract]
(581)
[HTML 1 KB]
[PDF 1282 KB]
(661)
|
|
38401 |
Liu Xiao-Xian (刘晓贤), Zhu Zhang-Ming (朱樟明), Yang Yin-Tang (杨银堂), Wang Feng-Juan (王凤娟), Ding Rui-Xue (丁瑞雪) |
|
|
Reduction of signal reflection along through silicon via channel in high-speed three-dimensional integration circuit |
|
|
|
Chin. Phys. B
2014 Vol.23 (3): 38401-038401
[Abstract]
(617)
[HTML 1 KB]
[PDF 371 KB]
(1012)
|
|
0 |
|
|
|
Molecular structure dependence of acoustic nonlinearity parameter B/A for silicone oil |
|
|
|
Chin. Phys. B
Vol. (): 0-0
[Abstract]
(37)
[HTML 0 KB]
[PDF 0 KB]
(3)
|
|
0 |
|
|
|
Hybrid Device for Acoustic Noise Reduction and Energy Harvesting Based on Silicon Micro Perforated Panel Structure |
|
|
|
Chin. Phys. B
Vol. (): 0-0
[Abstract]
(34)
[HTML 0 KB]
[PDF 0 KB]
(4)
|
|
117101 |
Lu Peng-Xian (路朋献), Qu Ling-Bo (屈凌波), Cheng Qiao-Huan (程巧换) |
|
|
A comparison study on the electronic structures, lattice dynamics and thermoelectric properties of bulk silicon and silicon nanotubes |
|
|
|
Chin. Phys. B
2013 Vol.22 (11): 117101-117101
[Abstract]
(481)
[HTML 1 KB]
[PDF 410 KB]
(495)
|
|
108504 |
Qiao Yun (乔赟), Liang Kun (梁琨), Chen Wen-Fei (陈文飞), Han De-Jun (韩德俊) |
|
|
Preliminary results for the design, fabrication, and performance of a backside-illuminated avalanche drift detector |
|
|
|
Chin. Phys. B
2013 Vol.22 (10): 108504-108504
[Abstract]
(550)
[HTML 1 KB]
[PDF 666 KB]
(522)
|
|
108501 |
Wu Qing-Qing (伍青青), Chen Jing (陈静), Luo Jie-Xin (罗杰馨), Lü Kai (吕凯), Yu Tao (余涛), Chai Zhan (柴展), Wang Xi (王曦) |
|
|
Gate-to-body tunneling current model for silicon-on-insulator MOSFETs |
|
|
|
Chin. Phys. B
2013 Vol.22 (10): 108501-108501
[Abstract]
(654)
[HTML 1 KB]
[PDF 267 KB]
(552)
|
|
106801 |
Liu Si-Si (刘思思), Zhang Chao-Hui (张朝辉), Zhang Han-Bing (张寒冰), Zhou Jie (周杰), He Jian-Guo (何建国), Yin Heng-Yang (尹恒洋) |
|
|
Fabrication of pillar-array superhydrophobic silicon surface and thermodynamic analysis on the wetting state transition |
|
|
|
Chin. Phys. B
2013 Vol.22 (10): 106801-106801
[Abstract]
(659)
[HTML 1 KB]
[PDF 1056 KB]
(668)
|
|
106101 |
Chen Ming (陈明), Li Shuang (李爽), Cui Qing-Qiang (崔清强), Liu Xiang-Dong (刘向东) |
|
|
Silicon micro-hemispheres with periodic nanoscale rings produced by the laser ablation of single crystalline silicon |
|
|
|
Chin. Phys. B
2013 Vol.22 (10): 106101-106101
[Abstract]
(616)
[HTML 1 KB]
[PDF 862 KB]
(568)
|
|
104204 |
Huang Wei-Qi (黄伟其), Yin Jun (尹君), Zhou Nian-Jie (周年杰), Huang Zhong-Mei (黄忠梅), Miao Xin-Jian (苗信建), Cheng Han-Qiong (陈汉琼), Su Qin (苏琴), Liu Shi-Rong (刘世荣), Qin Chao-Jian (秦朝建) |
|
|
Curved surface effect and emission on silicon nanostructures |
|
|
|
Chin. Phys. B
2013 Vol.22 (10): 104204-104204
[Abstract]
(557)
[HTML 1 KB]
[PDF 1213 KB]
(971)
|
|
96803 |
Huang Li (黄立), Xu Wen-Yan (徐文焱), Que Yan-De (阙炎德), Mao Jin-Hai (毛金海), Meng Lei (孟蕾), Pan Li-Da (潘理达), Li Geng (李更), Wang Ye-Liang (王业亮), Du Shi-Xuan (杜世萱), Liu Yun-Qi (刘云圻), Gao Hong-Jun (高鸿钧) |
|
|
Intercalation of metals and silicon at the interface of epitaxial graphene and its substrates |
|
|
|
Chin. Phys. B
2013 Vol.22 (9): 96803-096803
[Abstract]
(831)
[HTML 1 KB]
[PDF 1875 KB]
(1012)
|
|
97301 |
Zhu Shu-Yan (朱述炎), Xu Jing-Ping (徐静平), Wang Li-Sheng (汪礼胜), Huang Yuan (黄苑) |
|
|
Improved interface properties of an HfO2 gate dielectric GaAs MOS device by using SiNx as an interfacial passivation layer |
|
|
|
Chin. Phys. B
2013 Vol.22 (9): 97301-097301
[Abstract]
(610)
[HTML 1 KB]
[PDF 271 KB]
(550)
|
|
94214 |
Zhao Cun-Hua (赵存华) |
|
|
An all-silicone zoom lens in an optical imaging system |
|
|
|
Chin. Phys. B
2013 Vol.22 (9): 94214-094214
[Abstract]
(589)
[HTML 1 KB]
[PDF 517 KB]
(500)
|
|
96103 |
Zhang Zhan-Gang (张战刚), Liu Jie (刘杰), Hou Ming-Dong (侯明东), Sun You-Mei (孙友梅), Zhao Fa-Zhan (赵发展), Liu Gang (刘刚), Han Zheng-Sheng (韩郑生), Geng Chao (耿超), Liu Jian-De (刘建德), Xi Kai (习凯), Duan Jing-Lai (段敬来), Yao Hui-Jun (姚会军), Mo Dan (莫丹), Luo Jie (罗捷), Gu Song (古松), Liu Tian-Qi (刘天奇) |
|
|
Large energy-loss straggling of swift heavy ions in ultra-thin active silicon layers |
|
|
|
Chin. Phys. B
2013 Vol.22 (9): 96103-096103
[Abstract]
(686)
[HTML 1 KB]
[PDF 898 KB]
(870)
|
|
67306 |
Zhou Kun (周坤), Luo Xiao-Rong (罗小蓉), Fan Yuan-Hang (范远航), Luo Yin-Chun (罗尹春), Hu Xia-Rong (胡夏融), Zhang Bo (张波) |
|
|
A low on-resistance buried current path SOI p-channel LDMOS compatible with n-channel LDMOS |
|
|
|
Chin. Phys. B
2013 Vol.22 (6): 67306-067306
[Abstract]
(727)
[HTML 1 KB]
[PDF 938 KB]
(780)
|
|
57202 |
Ren Sheng-Dong (任胜东), Li Bin-Cheng (李斌成), Gao Li-Feng (高丽峰), Wang Qian (王谦) |
|
|
Combined frequency- and time-domain photocarrier radiometry characterization of ion-implanted and thermally annealed silicon wafers |
|
|
|
Chin. Phys. B
2013 Vol.22 (5): 57202-057202
[Abstract]
(814)
[HTML 1 KB]
[PDF 383 KB]
(405)
|
|
57804 |
Yu Wei (于威), Wang Xin-Zhan (王新占), Dai Wan-Lei (戴万雷), Lu Wan-Bing (路万兵), Liu Yu-Mei (刘玉梅), Fu Guang-Sheng (傅广生) |
|
|
Surface plasmon enhanced photoluminescence in amorphous silicon carbide films by adjusting Ag island film sizes |
|
|
|
Chin. Phys. B
2013 Vol.22 (5): 57804-057804
[Abstract]
(733)
[HTML 1 KB]
[PDF 354 KB]
(726)
|
|
47701 |
Zheng Zhi (郑直), Li Wei (李威), Li Ping (李平) |
|
|
Non-depletion floating layer in SOI LDMOS for enhancing breakdown voltage and eliminating back-gate bias effect |
|
|
|
Chin. Phys. B
2013 Vol.22 (4): 47701-047701
[Abstract]
(779)
[HTML 1 KB]
[PDF 967 KB]
(794)
|
|
27303 |
Luo Xiao-Rong (罗小蓉), Wang Qi (王琦), Yao Guo-Liang (姚国亮), Wang Yuan-Gang (王元刚), Lei Tian-Fei (雷天飞), Wang Pei (王沛), Jiang Yong-Heng (蒋永恒), Zhou Kun (周坤), Zhang Bo (张波) |
|
|
A high voltage silicon-on-insulator lateral insulated gate bipolar transistor with a reduced cell-pitch |
|
|
|
Chin. Phys. B
2013 Vol.22 (2): 27303-027303
[Abstract]
(778)
[HTML 1 KB]
[PDF 809 KB]
(833)
|
|
24212 |
Qiu Chao (仇超), Sheng Zhen (盛振), Li Le (李乐), Albert Pang (彭树根), Wu Ai-Min (武爱民), Wang Xi (王曦), Zou Shi-Chang (邹世昌), Gan Fu-Wan (甘甫烷) |
|
|
High efficiency grating couplers based on shared process with CMOS MOSFETs |
|
|
|
Chin. Phys. B
2013 Vol.22 (2): 24212-024212
[Abstract]
(834)
[HTML 1 KB]
[PDF 274 KB]
(638)
|
|
27304 |
Luo Xiao-Rong (罗小蓉), Luo Yin-Chun (罗尹春), Fan Ye (范叶), Hu Gang-Yi (胡刚毅), Wang Xiao-Wei (王骁玮), Zhang Zheng-Yuan (张正元), Fan Yuan-Hang (范远航), Cai Jin-Yong (蔡金勇), Wang Pei (王沛), Zhou Kun (周坤) |
|
|
A low specific on-resistance SOI MOSFET with dual gates and recessed drain |
|
|
|
Chin. Phys. B
2013 Vol.22 (2): 27304-027304
[Abstract]
(873)
[HTML 1 KB]
[PDF 684 KB]
(735)
|
|
16803 |
Zhang Lei (张磊), Shen Hong-Lie (沈鸿烈), Yue Zhi-Hao (岳之浩), Jiang Feng (江丰), Wu Tian-Ru (吴天如), Pan Yuan-Yuan (潘园园) |
|
|
Effect of emitter layer doping concentration on the performance of silicon thin film heterojunction solar cell |
|
|
|
Chin. Phys. B
2013 Vol.22 (1): 16803-016803
[Abstract]
(807)
[HTML 0 KB]
[PDF 339 KB]
(1502)
|
|
17302 |
Deng Xiao-Chuan (邓小川), Sun He (孙鹤), Rao Cheng-Yuan (饶成元), Zhang Bo (张波) |
|
|
High-power SiC MESFET using dual p-buffer layer for S-band power amplifier |
|
|
|
Chin. Phys. B
2013 Vol.22 (1): 17302-017302
[Abstract]
(987)
[HTML 0 KB]
[PDF 407 KB]
(731)
|
|
128101 |
Peng Dong-Sheng (彭冬生), Chen Zhi-Gang (陈志刚), Tan Cong-Cong (谭聪聪) |
|
|
The influence of SixNy interlayer on GaN film grown on Si(111) substrate |
|
|
|
Chin. Phys. B
2012 Vol.21 (12): 128101-128101
[Abstract]
(887)
[HTML 1 KB]
[PDF 1326 KB]
(520)
|
|
116104 |
Zheng Zhong-Shan (郑中山), Liu Zhong-Li (刘忠立), Yu Fang (于芳), Li Ning (李宁 ) |
|
|
Total dose radiation response of modified commercial silicon-on-insulator materials with nitrogen implanted buried oxide |
|
|
|
Chin. Phys. B
2012 Vol.21 (11): 116104-116104
[Abstract]
(1046)
[HTML 1 KB]
[PDF 628 KB]
(531)
|
|
108502 |
Qiao Ming (乔明), Zhuang Xiang (庄翔), Wu Li-Juan (吴丽娟), Zhang Wen-Tong (章文通), Wen Heng-Juan (温恒娟), Zhang Bo (张波), Li Zhao-Ji (李肇基) |
|
|
Breakdown voltage model and structure realization of a thin silicon layer with linear variable doping on a silicon on insulator high voltage device with multiple step field plates |
|
|
|
Chin. Phys. B
2012 Vol.21 (10): 108502-108502
[Abstract]
(1015)
[HTML 1 KB]
[PDF 2606 KB]
(1585)
|
|
107802 |
Fu Guang-Sheng (傅广生), Wang Xin-Zhan (王新占), Lu Wan-Bing (路万兵), Dai Wan-Lei (戴万雷), Li Xing-Kuo (李兴阔), Yu Wei (于威) |
|
|
Structural and band tail state photoluminescence properties of amorphous SiC films with different amounts of carbon |
|
|
|
Chin. Phys. B
2012 Vol.21 (10): 107802-107802
[Abstract]
(1147)
[HTML 1 KB]
[PDF 320 KB]
(2019)
|
|
97302 |
Zhang Xian-Jun (张现军), Yang Yin-Tang (杨银堂), Duan Bao-Xing (段宝兴), Chai Chang-Chun (柴常春), Song Kun (宋坤), Chen Bin (陈斌) |
|
|
Drain-induced barrier lowering effect for short channel dual material gate 4H silicon carbide metal–semiconductor field-effect transistor |
|
|
|
Chin. Phys. B
2012 Vol.21 (9): 97302-097302
[Abstract]
(1283)
[HTML 1 KB]
[PDF 227 KB]
(1730)
|
|
94207 |
Huang Wei-Qi (黄伟其), Miao Xin-Jian (苗信建), Huang Zhong-Mei (黄忠梅), Liu Shi-Rong (刘世荣), Qin Chao-Jian (秦朝建) |
|
|
Activation of silicon quantum dots for emission |
|
|
|
Chin. Phys. B
2012 Vol.21 (9): 94207-094207
[Abstract]
(1014)
[HTML 1 KB]
[PDF 1949 KB]
(898)
|
|
97802 |
Chen Tian (陈天), Ye Chao (叶超), Yuan Ying (袁颖), Deng Yan-Hong (邓艳红), Ge Shui-Bin (葛水兵), Xu Yi-Jun (徐轶君), Ning Zhao-Yuan (宁兆元), Pan Xiao-Pin (潘小平), Wang Zhen-Ming (王振明) |
|
|
Photoluminescence of silicone oil treated by fluorocarbon plasma |
|
|
|
Chin. Phys. B
2012 Vol.21 (9): 97802-097802
[Abstract]
(1188)
[HTML 1 KB]
[PDF 255 KB]
(1054)
|
|
86101 |
Zhang Jia-Hong (张加宏), Mao Xiao-Li (冒晓莉), Liu Qing-Quan (刘清惓), Gu Fang (顾芳), Li Min (李敏), Liu Heng (刘恒), Ge Yi-Xian (葛益娴 ) |
|
|
Mechanical properties of silicon nanobeams with undercut evaluated by combining dynamic resonance test and finite element analysis |
|
|
|
Chin. Phys. B
2012 Vol.21 (8): 86101-086101
[Abstract]
(1374)
[HTML 1 KB]
[PDF 6612 KB]
(1621)
|
|
74207 |
Meng Fan(孟凡), Yu Chong-Xiu(余重秀), and Yuan Jin-Hui(苑金辉) |
|
|
Analytical model of signal amplification in silicon waveguides |
|
|
|
Chin. Phys. B
2012 Vol.21 (7): 74207-074207
[Abstract]
(1499)
[HTML 1 KB]
[PDF 216 KB]
(608)
|
|
78502 |
Hu Xia-Rong(胡夏融), Zhang Bo(张波), Luo Xiao-Rong(罗小蓉), Wang Yuan-Gang(王元刚), Lei Tian-Fei(雷天飞), and Li Zhao-Ji(李肇基) |
|
|
A new analytical model for the surface electric field distribution and breakdown voltage of the SOI trench LDMOS |
|
|
|
Chin. Phys. B
2012 Vol.21 (7): 78502-078502
[Abstract]
(1456)
[HTML 1 KB]
[PDF 152 KB]
(1036)
|
|
77402 |
Tao Ye-Liao(陶也了), Zuo Yu-Hua(左玉华), Zheng Jun(郑军), Xue Chun-Lai(薛春来), Cheng Bu-Wen(成步文), Wang Qi-Ming(王启明), and Xu Jun(徐骏) |
|
|
Substrate-induced stress in silicon nanocrystal/SiO2 multilayer structure |
|
|
|
Chin. Phys. B
2012 Vol.21 (7): 77402-077402
[Abstract]
(1151)
[HTML 1 KB]
[PDF 2265 KB]
(665)
|
|
74203 |
Xiong Kang(熊康), Xiao Xi(肖希), Hu Ying-Tao(胡应涛), Li Zhi-Yong(李智勇), Chu Tao(储涛), Yu Yu-De(俞育德), and Yu Jin-Zhong(余金中) |
|
|
Modeling and analysis of silicon-on-insulator elliptical microring resonators for future high-density integrated photonic circuits |
|
|
|
Chin. Phys. B
2012 Vol.21 (7): 74203-074203
[Abstract]
(1561)
[HTML 1 KB]
[PDF 2333 KB]
(1162)
|
|
66106 |
Guo Yan-Qing(郭艳青), Huang Rui(黄锐), Song Jie(宋捷), Wang Xiang(王祥), Song Chao(宋超), and Zhang Yi-Xiong(张奕雄) |
|
|
Growth characteristics of amorphous-layer-free nanocrystalline silicon films fabricated by very high frequency PECVD at 250 ℃ |
|
|
|
Chin. Phys. B
2012 Vol.21 (6): 66106-066106
[Abstract]
(1273)
[HTML 1 KB]
[PDF 245 KB]
(802)
|
|
66105 |
Qin Xi-Feng(秦希峰), Li Hong-Zhen(李洪珍), Li Shuang(李双), Ji Zi-Wu(冀子武), Wang Hui-Ning(王绘凝), Wang Feng-Xiang(王凤翔), and Fu Gang(付刚) |
|
|
Investigation of the inhibiting outdiffusion of erbium atoms to a silicon-on-insulator surface after annealing at high temperature |
|
|
|
Chin. Phys. B
2012 Vol.21 (6): 66105-066105
[Abstract]
(1322)
[HTML 1 KB]
[PDF 119 KB]
(542)
|
|
58201 |
Chen Hui-Qing(陈慧卿), Hu Ming(胡明), Zeng Jing(曾晶), and Wang Wei-Dan(王巍丹) |
|
|
The light-enhanced NO2 sensing properties of porous silicon gas sensors at room temperature |
|
|
|
Chin. Phys. B
2012 Vol.21 (5): 58201-058201
[Abstract]
(1578)
[HTML 1 KB]
[PDF 917 KB]
(1708)
|
|
37305 |
Zhuang Xiang(庄翔), Qiao Ming(乔明), Zhang Bo(张波), and Li Zhao-Ji(李肇基) |
|
|
Analysis of the breakdown mechanism for an ultra high voltage high-side thin layer silicon-on-insulator p-channel lateral double-diffused metal oxide semiconductor |
|
|
|
Chin. Phys. B
2012 Vol.21 (3): 37305-037305
[Abstract]
(1107)
[HTML 1 KB]
[PDF 1679 KB]
(24119)
|
|
47303 |
Zhang Jian(张健), He Jin(何进), Zhou Xing-Ye(周幸叶), Zhang Li-Ning(张立宁), Ma Yu-Tao(马玉涛), Chen Qin(陈沁), Zhang Xu-Kai(张勖凯), Yang Zhang(杨张), Wang Rui-Fei(王睿斐), HanYu(韩雨), and Chan Mansun(陈文新) |
|
|
A unified charge-based model for SOI MOSFETs applicable from intrinsic to heavily doped channel |
|
|
|
Chin. Phys. B
2012 Vol.21 (4): 47303-047303
[Abstract]
(1348)
[HTML 1 KB]
[PDF 303 KB]
(710)
|
|
44202 |
Meng Fan(孟凡), Yu Chong-Xiu(余重秀), Deng Yun-Yi(邓云逸), and Yuan Jin-Hui(苑金辉) |
|
|
Nonlinear performances of dual-pump amplifiers in silicon waveguides |
|
|
|
Chin. Phys. B
2012 Vol.21 (4): 44202-044202
[Abstract]
(1326)
[HTML 1 KB]
[PDF 240 KB]
(643)
|
|
27101 |
Hu Sheng-Dong(胡盛东), Wu Li-Juan(吴丽娟), Zhou Jian-Lin(周建林), Gan Ping(甘平), Zhang Bo(张波), and Li Zhao-Ji(李肇基) |
|
|
Improvement on the breakdown voltage for silicon-on-insulator devices based on epitaxy-separation by implantation oxygen by a partial buried n+-layer |
|
|
|
Chin. Phys. B
2012 Vol.21 (2): 27101-027101
[Abstract]
(1191)
[HTML 1 KB]
[PDF 580 KB]
(636)
|
|
25202 |
Xu Rui(徐锐), Wang Xiao-Dong(王晓东), Liu Wen(刘雯), Xu Xiao-Na(徐晓娜), Li Yue-Qiang(李越强), Ji An(季安), Yang Fu-Hua(杨富华), and Li Jin-Min(李晋闽) |
|
|
Dielectric layer-dependent surface plasmon effect of metallic nanoparticles on silicon substrate |
|
|
|
Chin. Phys. B
2012 Vol.21 (2): 25202-025202
[Abstract]
(1065)
[HTML 1 KB]
[PDF 1246 KB]
(902)
|
|
17202 |
Song Kun(宋坤), Chai Chang-Chun(柴常春), Yang Yin-Tang(杨银堂), Chen Bin(陈斌), Zhang Xian-Jun(张现军), and Ma Zhen-Yang(马振洋) |
|
|
Effects of gate-buffer combined with a p-type spacer structure on silicon carbide metal–semiconductor field-effect transistors |
|
|
|
Chin. Phys. B
2012 Vol.21 (1): 17202-17202
[Abstract]
(1055)
[HTML 1 KB]
[PDF 454 KB]
(724)
|
|
17201 |
Zhang Xian-Jun(张现军), Yang Yin-Tang(杨银堂), Duan Bao-Xing(段宝兴), Chen Bin(陈斌), Chai Chang-Chun(柴常春), and Song Kun(宋坤) |
|
|
New 4H silicon carbide metal semiconductor field-effect transistor with a buffer layer between the gate and the channel layer |
|
|
|
Chin. Phys. B
2012 Vol.21 (1): 17201-017201
[Abstract]
(1272)
[HTML 1 KB]
[PDF 933 KB]
(731)
|
|
16203 |
Zhang Jia-Hong(张加宏), Li Min(李敏), Gu Fang(顾芳), and Liu Qing-Quan(刘清惓) |
|
|
Influences of surface effects and large deformation on the resonant properties of ultrathin silicon nanocantilevers |
|
|
|
Chin. Phys. B
2012 Vol.21 (1): 16203-016203
[Abstract]
(1206)
[HTML 1 KB]
[PDF 280 KB]
(674)
|
|
15203 |
Zhang Hai-Long(张海龙), Liu Feng-Zhen(刘丰珍), Zhu Mei-Fang(朱美芳), and Liu Jin-Long(刘金龙) |
|
|
Influence of ignition condition on the growth of silicon thin films using plasma enhanced chemical vapour deposition |
|
|
|
Chin. Phys. B
2012 Vol.21 (1): 15203-015203
[Abstract]
(1112)
[HTML 1 KB]
[PDF 181 KB]
(603)
|
|
10701 |
Zhang Ji-Tao(张继涛), Wu Xue-Jian(吴学健), and Li Yan(李岩) |
|
|
Mixed polarization in determining the film thickness of a silicon sphere by spectroscopic ellipsometry |
|
|
|
Chin. Phys. B
2012 Vol.21 (1): 10701-010701
[Abstract]
(1222)
[HTML 1 KB]
[PDF 663 KB]
(604)
|
|
98501 |
Xu Xiao-Bo(徐小波), Xu Kai-Xuan(徐凯选), Zhang He-Ming(张鹤鸣), and Qin Shan-Shan(秦珊珊) |
|
|
A device model for thin silicon-on-insulator SiGe heterojunction bipolar transistors with saturation effects |
|
|
|
Chin. Phys. B
2011 Vol.20 (9): 98501-098501
[Abstract]
(1485)
[HTML 0 KB]
[PDF 140 KB]
(737)
|
|
97103 |
Cao Quan(曹权), Ma Zhi-Hua(马志华), Xue Chun-Lai(薛春来),Zuo Yu-Hua(左玉华), and Wang Qi-Ming(王启明) |
|
|
Detailed balance limit efficiency of silicon intermediate band solar cells |
|
|
|
Chin. Phys. B
2011 Vol.20 (9): 97103-097103
[Abstract]
(1354)
[HTML 0 KB]
[PDF 157 KB]
(2240)
|
|
96801 |
Li Xin-Li(李新利), Chen Yong-Sheng(陈永生), Yang Shi-E(杨仕娥), Gu Jin-Hua(谷锦华), Lu Jing-Xiao(卢景霄), Gao Xiao-Yong(郜小勇), Li Rui(李瑞), Jiao Yue-Chao(焦岳超), Gao Hai-Bo(高海波), and Wang Guo(王果) |
|
|
Influence of Boron doping on microcrystalline silicon growth |
|
|
|
Chin. Phys. B
2011 Vol.20 (9): 96801-096801
[Abstract]
(1288)
[HTML 1 KB]
[PDF 306 KB]
(804)
|
|
90601 |
Zhang Ji-Tao(张继涛), Wu Xue-Jian(吴学健), and Li Yan(李岩) |
|
|
Uncertainty reevaluation in determining the volume of a silicon sphere by spherical harmonics in an Avogadro project |
|
|
|
Chin. Phys. B
2011 Vol.20 (9): 90601-090601
[Abstract]
(1263)
[HTML 0 KB]
[PDF 456 KB]
(728)
|
|
87309 |
Ni Jian(倪牮), Zhang Jian-Jun(张建军), Cao Yu(曹宇), Wang Xian-Bao(王先宝), Li Chao(李超), Chen Xin-Liang(陈新亮), Geng Xin-Hua(耿新华), and Zhao Ying(赵颖) |
|
|
Open-circuit voltage analysis of p–i–n type amorphous silicon solar cells deposited at low temperature |
|
|
|
Chin. Phys. B
2011 Vol.20 (8): 87309-087309
[Abstract]
(1549)
[HTML 1 KB]
[PDF 281 KB]
(1643)
|
|
86103 |
Qin Xi-Feng(秦希峰), Li Hong-Zhen(李洪珍), Li Shuang(李双), Liang Yi(梁毅), Wang Feng-Xiang(王凤翔), Fu Gang(付刚), and Ji Yan-Ju(季艳菊) |
|
|
Study of the lateral distribution of neodymium ions implanted in silicon |
|
|
|
Chin. Phys. B
2011 Vol.20 (8): 86103-086103
[Abstract]
(1371)
[HTML 1 KB]
[PDF 126 KB]
(820)
|
|
74212 |
Zhou Liang(周亮), Li Zhi-Yong(李智勇), Hu Ying-Tao(胡应涛), Xiong Kang(熊康), Fan Zhong-Chao(樊中朝), Han Wei-Hua(韩伟华), Yu Yu-De (俞育德), and Yu Jin-Zhong (余金中) |
|
|
CMOS compatible highly efficient grating couplers with a stair-step blaze profile |
|
|
|
Chin. Phys. B
2011 Vol.20 (7): 74212-074212
[Abstract]
(1370)
[HTML 1 KB]
[PDF 595 KB]
(848)
|
|
74202 |
Fang Jian(方健), Chen Chang-Shui(陈长水), Wang Fang(王芳), and Liu Song-Hao(刘颂豪) |
|
|
Sunlight loss for femtosecond microstructured silicon with two impurity bands |
|
|
|
Chin. Phys. B
2011 Vol.20 (7): 74202-074202
[Abstract]
(1282)
[HTML 0 KB]
[PDF 924 KB]
(789)
|
|
67102 |
Zhang Fa-Sheng (张发生), Li Xin-Ran (李欣然) |
|
|
Research on high-voltage 4H–SiC P–i–N diode with planar edge junction termination techniques |
|
|
|
Chin. Phys. B
2011 Vol.20 (6): 67102-067102
[Abstract]
(1401)
[HTML 1 KB]
[PDF 473 KB]
(1159)
|
|
58503 |
Xu Xiao-Bo(徐小波), Zhang He-Ming(张鹤鸣), Hu Hui-Yong(胡辉勇), and Qu Jiang-Tao(屈江涛) |
|
|
Substrate bias effects on collector resistance in SiGe heterojunction bipolar transistors on thin film silicon-on-insulator |
|
|
|
Chin. Phys. B
2011 Vol.20 (5): 58503-058503
[Abstract]
(1307)
[HTML 0 KB]
[PDF 433 KB]
(950)
|
|
58502 |
Xu Xiao-Bo (徐小波), Zhang He-Ming (张鹤鸣), Hu Hui-Yong (胡辉勇), Ma Jian-Li (马建立) |
|
|
Early effect modeling of silicon-on-insulator SiGe heterojunction bipolar transistors |
|
|
|
Chin. Phys. B
2011 Vol.20 (5): 58502-058502
[Abstract]
(1496)
[HTML 0 KB]
[PDF 468 KB]
(1261)
|
|
43102 |
Xu Hong-Guang(许洪光), Wu Miao-Miao(吴苗苗), Zhang Zeng-Guang(张增光), Sun Qiang(孙强), and Zheng Wei-Jun(郑卫军) |
|
|
Structural and bonding properties of ScSin- (n=2~6) clusters: photoelectron spectroscopy and density functional calculations |
|
|
|
Chin. Phys. B
2011 Vol.20 (4): 43102-043102
[Abstract]
(1417)
[HTML 1 KB]
[PDF 1389 KB]
(1005)
|
|
28501 |
Luo Xiao-Rong(罗小蓉), Yao Guo-Liang(姚国亮), Chen Xi(陈曦), Wang Qi(王琦), Ge Rui(葛瑞), and Florin Udrea |
|
|
Ultra-low on-resistance high voltage (>600 V) SOI MOSFET with a reduced cell pitch |
|
|
|
Chin. Phys. B
2011 Vol.20 (2): 28501-028501
[Abstract]
(1431)
[HTML 1 KB]
[PDF 1846 KB]
(2304)
|
|
26802 |
Wang Chong(王茺), Yang Yu(杨宇), Yang Rui-Dong(杨瑞东), Li Liang(李亮), Xiong Fei(熊飞), and Bao Ji-Ming |
|
|
Study on the defect-related emissions in the light self-ion-implanted Si films by a silicon-on-insulator structure |
|
|
|
Chin. Phys. B
2011 Vol.20 (2): 26802-026802
[Abstract]
(1548)
[HTML 1 KB]
[PDF 982 KB]
(730)
|
|
127204 |
Liu Li(刘莉), Yang Yin-Tang(杨银堂), and Ma Xiao-Hua(马晓华) |
|
|
The electrical characteristics of a 4H–silicon carbide metal–insulator–semiconductor structure with Al2O3 as the gate dielectric |
|
|
|
Chin. Phys. B
2011 Vol.20 (12): 127204-127204
[Abstract]
(1446)
[HTML 1 KB]
[PDF 359 KB]
(1192)
|
|
116103 |
Bai An-Qi(白安琪), Zheng Jun(郑军), Tao Ye-Liao(陶冶了), Zuo Yu-Hua(左玉华), Xue Chun-Lai(薛春来), Cheng Bu-Wen(成步文), and Wang Qi-Ming(王启明) |
|
|
Si nanopillar arrays with nanocrystals produced by template-induced growth at room temperature |
|
|
|
Chin. Phys. B
2011 Vol.20 (11): 116103-116103
[Abstract]
(1224)
[HTML 0 KB]
[PDF 302 KB]
(734)
|
|
108801 |
Zhang Xiao-Dan(张晓丹), Zheng Xin-Xia(郑新霞), Xu Sheng-Zhi(许盛之), Lin Quan(林泉), Wei Chang-Chun(魏长春), Sun Jian(孙建), Geng Xin-Hua(耿新华), and Zhao Ying(赵颖) |
|
|
Micromorph tandem solar cells: optimization of the microcrystalline silicon bottom cell in a single chamber system |
|
|
|
Chin. Phys. B
2011 Vol.20 (10): 108801-108801
[Abstract]
(1750)
[HTML 0 KB]
[PDF 186 KB]
(902)
|
|
108502 |
Xu Xiao-Bo(徐小波), Zhang He-Ming(张鹤鸣), Hu Hui-Yong(胡辉勇) Li Yu-Chen(李妤晨), and Qu Jiang-Tao(屈江涛) |
|
|
Weak avalanche multiplication in SiGe heterojunction bipolar transistors on thin film silicon-on-insulator |
|
|
|
Chin. Phys. B
2011 Vol.20 (10): 108502-108502
[Abstract]
(1451)
[HTML 0 KB]
[PDF 503 KB]
(963)
|
|
108103 |
Zhao Hua-Bo(赵华波), Ying Alex Yi-Qun(应轶群), Yan Feng(严峰), Wei Qin-Qin(魏芹芹), Fu Yun-Yi(傅云义), Zhang Yan(张岩), Li Yan(李彦), Wei Zi-Jun(魏子钧), and Zhang Zhao-Hui(张朝晖) |
|
|
Enhanced etching of silicon dioxide guided by carbon nanotubes in HF solution |
|
|
|
Chin. Phys. B
2011 Vol.20 (10): 108103-108103
[Abstract]
(1449)
[HTML 1 KB]
[PDF 3106 KB]
(1326)
|
|
107801 |
Xu Hai-Jun(许海军), Chan Yu-Fei(廛宇飞), and Su Lei(苏雷) |
|
|
Multipeak-structured photoluminescence mechanisms of as-prepared and oxidized Si nanoporous pillar arrays |
|
|
|
Chin. Phys. B
2011 Vol.20 (10): 107801-107801
[Abstract]
(1229)
[HTML 0 KB]
[PDF 207 KB]
(717)
|
|
104209 |
Ma Jian-Yong(麻健勇), Xu Cheng(许程), Qiang Ying-Huai(强颖怀), and Zhu Ya-Bo(朱亚波) |
|
|
Broadband non-polarizing beam splitter based on guided mode resonance effect |
|
|
|
Chin. Phys. B
2011 Vol.20 (10): 104209-104209
[Abstract]
(1475)
[HTML 1 KB]
[PDF 258 KB]
(688)
|
|
18503 |
Wang Wei(王伟), Huang Bei-Ju(黄北举), Dong Zan(董赞), and Chen Hong-Da(陈弘达) |
|
|
Multifunctional silicon-based light emitting device in standard complementary metal–oxide–semiconductor technology |
|
|
|
Chin. Phys. B
2011 Vol.20 (1): 18503-018503
[Abstract]
(1754)
[HTML 0 KB]
[PDF 1499 KB]
(1051)
|
|
18502 |
Xu Xiao-Bo(徐小波), Zhang He-Ming(张鹤鸣), Hu Hui-Yong(胡辉勇), Ma Jian-Li(马建立), and Xu Li-Jun(许立军) |
|
|
Analytical base–collector depletion capacitance in vertical SiGe heterojunction bipolar transistors fabricated on CMOS-compatible silicon on insulator |
|
|
|
Chin. Phys. B
2011 Vol.20 (1): 18502-018502
[Abstract]
(1607)
[HTML 0 KB]
[PDF 263 KB]
(1014)
|
|
10210 |
He Da-Wei(何大伟), Cheng Xin-Hong(程新红), Wang Zhong-Jian(王中健), Xu Da-Wei(徐大伟), Song Zhao-Rui(宋朝瑞), and Yu Yue-Hui(俞跃辉) |
|
|
An analytical model for coplanar waveguide on silicon-on-insulator substrate with conformal mapping technique |
|
|
|
Chin. Phys. B
2011 Vol.20 (1): 10210-010210
[Abstract]
(1782)
[HTML 1 KB]
[PDF 978 KB]
(1858)
|
|
98102 |
Wang Guang-Hong(王光红), Zhang Xiao-Dan(张晓丹), Xu Sheng-Zhi(许盛之), Zheng Xin-Xia(郑新霞), Wei Chang-Chun(魏长春), Sun Jian(孙建), Xiong Shao-Zhen(熊绍珍), Geng Xin-Hua(耿新华), and Zhao Ying(赵颖) |
|
|
Reduction of the phosphorus contamination for plasma deposition of p–i–n microcrystalline silicon solar cells in a single chamber |
|
|
|
Chin. Phys. B
2010 Vol.19 (9): 98102-098102
[Abstract]
(1333)
[HTML 0 KB]
[PDF 184 KB]
(838)
|
|
97201 |
Liu Xian-Ming(刘显明), Li Bin-Cheng(李斌成), and Huang Qiu-Ping(黄秋萍) |
|
|
Thermal annealing induced photocarrier radiometry enhancement for ion implanted silicon wafers |
|
|
|
Chin. Phys. B
2010 Vol.19 (9): 97201-097201
[Abstract]
(1465)
[HTML 1 KB]
[PDF 336 KB]
(872)
|
|
97106 |
Wang Shou-Guo(王守国), Zhang Yi-Men(张义门), and Zhang Yu-Ming(张玉明) |
|
|
Parameter analysis for gate metal–oxide–semiconductor structures of ion-implanted 4H silicon carbide metal–semiconductor field-effect transistors |
|
|
|
Chin. Phys. B
2010 Vol.19 (9): 97106-097106
[Abstract]
(1583)
[HTML 0 KB]
[PDF 486 KB]
(629)
|
|
87206 |
Chen Yong-Sheng(陈永生), Xu Yan-Hua(徐艳华), Gu Jin-Hua(谷锦华), Lu Jing-Xiao(卢景霄), Yang Shi-E(杨仕娥), and Gao Xiao-Yong(郜小勇) |
|
|
The study of amorphous incubation layers during the growth of microcrystalline silicon films under different deposition conditions |
|
|
|
Chin. Phys. B
2010 Vol.19 (8): 87206-087206
[Abstract]
(1772)
[HTML 0 KB]
[PDF 238 KB]
(739)
|
|
84210 |
Xu Hai-Hua(徐海华), Huang Qing-Zhong(黄庆忠), Li Yun-Tao(李运涛), Yu Yu-De(俞育德), and Yu Jin-Zhong(余金中) |
|
|
Sub-nanosecond optical switch based on silicon racetrack resonator |
|
|
|
Chin. Phys. B
2010 Vol.19 (8): 84210-084210
[Abstract]
(1919)
[HTML 1 KB]
[PDF 462 KB]
(946)
|
|
77306 |
Luo Xiao-Rong (罗小蓉), Wang Yuan-Gang (王元刚), Deng Hao (邓浩), Florin Udrea |
|
|
A novel partial silicon on insulator high voltage LDMOS with low-k dielectric buried layer |
|
|
|
Chin. Phys. B
2010 Vol.19 (7): 77306-077306
[Abstract]
(1486)
[HTML 1 KB]
[PDF 4242 KB]
(992)
|
|
67304 |
Zhang Jian(张健), He Jin(何进), and Zhang Li-Ning(张立宁) |
|
|
One-dimensional continuous analytic potential solution to generic oxide-silicon-oxide system |
|
|
|
Chin. Phys. B
2010 Vol.19 (6): 67304-067304
[Abstract]
(1641)
[HTML 1 KB]
[PDF 367 KB]
(669)
|
|
65205 |
Yuan Yuan(袁圆), Ye Chao(叶超), Huang Hong-Wei(黄宏伟), Shi Guo-Feng(施国峰), and Ning Zhao-Yuan(宁兆元) |
|
|
Structural evolution of silicone oil liquid exposed to Ar plasma |
|
|
|
Chin. Phys. B
2010 Vol.19 (6): 65205-065205
[Abstract]
(1582)
[HTML 1 KB]
[PDF 2388 KB]
(805)
|
|
60701 |
Shi Sha-Li(石莎莉), Chen Da-Peng(陈大鹏), Ou Yi(欧毅), Jing Yu-Peng(景玉鹏), Xu Qiu-Xia(徐秋霞), and Ye Tian-Chun(叶甜春) |
|
|
A novel anti-shock silicon etching apparatus for solving diaphragm release problems |
|
|
|
Chin. Phys. B
2010 Vol.19 (6): 60701-060701
[Abstract]
(1639)
[HTML 1 KB]
[PDF 2046 KB]
(760)
|
|
57205 |
Chen Yong-Sheng(陈永生), Yang Shi-E(杨仕娥), Wang Jian-Hua(汪建华), Lu Jing-Xiao(卢景霄),Gao Xiao-Yong(郜小勇), and Gu Jin-Hua(谷锦华) |
|
|
The effect of initial discharge conditions on the properties of microcrystalline silicon thin films and solar cells |
|
|
|
Chin. Phys. B
2010 Vol.19 (5): 57205-057205
[Abstract]
(1220)
[HTML 1 KB]
[PDF 585 KB]
(549)
|
|
38101 |
Zhang Xiao-Dan(张晓丹),Zhang He(张鹤),Wei Chang-Chun(魏长春), Sun Jian(孙建), Hou Guo-Fu(侯国付), Xiong Shao-Zhen(熊绍珍),Geng Xin-Hua(耿新华), and Zhao Ying(赵颖) |
|
|
Analysis of heating effect on the process of high deposition rate microcrystalline silicon |
|
|
|
Chin. Phys. B
2010 Vol.19 (3): 38101-038101
[Abstract]
(1436)
[HTML 1 KB]
[PDF 1179 KB]
(549)
|
|
36803 |
Wang Yue-Hu(王悦湖), Zhang Yi-Men(张义门), Zhang Yu-Ming(张玉明), Zhang Lin(张林), Jia Ren-Xu(贾仁需), and Chen Da(陈达) |
|
|
SiC epitaxial layers grown by chemical vapour deposition and the fabrication of Schottky barrier diodes |
|
|
|
Chin. Phys. B
2010 Vol.19 (3): 36803-036803
[Abstract]
(1581)
[HTML 1 KB]
[PDF 1651 KB]
(869)
|
|
27501 |
Liu Xing-Chong(刘兴翀), Huang Xiao-Ping(黄小平), and Zhang Feng-Ming(张凤鸣) |
|
|
Enhancement of ferromagnetism in polycrystalline Si0.965Mn0.035:B films by boron plasma treatment |
|
|
|
Chin. Phys. B
2010 Vol.19 (2): 27501-027501
[Abstract]
(1660)
[HTML 1 KB]
[PDF 434 KB]
(627)
|
|
127303 |
Liu Hong-Xia(刘红侠), Li Bin(李斌), Li Jin(李劲), Yuan Bo(袁博), and Hao Yue(郝跃) |
|
|
Electrical characteristics of SiGe-on-insulator nMOSFET and SiGe-silicon-on-aluminum nitride nMOSFET |
|
|
|
Chin. Phys. B
2010 Vol.19 (12): 127303-127303
[Abstract]
(1542)
[HTML 1 KB]
[PDF 973 KB]
(843)
|
|
124214 |
Zhou Liang(周亮), Li Zhi-Yong(李智勇), and Zhu Yu(朱宇), Li Yun-Tao(李运涛), Fan Zhong-Cao(樊中朝), Han Wei-Hua(韩伟华), Yu Yu-De(俞育德), and Yu Jin-Zhong(余金中) |
|
|
A novel highly efficient grating coupler with large filling factor used for optoelectronic integration |
|
|
|
Chin. Phys. B
2010 Vol.19 (12): 124214-124214
[Abstract]
(1623)
[HTML 1 KB]
[PDF 1483 KB]
(1451)
|
|
118105 |
Chen Ying-Tian(陈应天), Ho Tso-Hsiu(何祚庥), Lim Chern-Sing(林晨星), and Lim Boon Han(林文汉) |
|
|
Development of silicon purification by strong radiation catalysis method |
|
|
|
Chin. Phys. B
2010 Vol.19 (11): 118105-110301
[Abstract]
(1509)
[HTML 1 KB]
[PDF 505 KB]
(816)
|
|
113403 |
Qin Xi-Feng(秦希峰), Chen Ming(陈明), Wang Xue-Lin(王雪林), Liang Yi(梁毅), and Zhang Shao-Mei(张少梅) |
|
|
Investigation of the lateral spread of erbium ions implanted in silicon crystal |
|
|
|
Chin. Phys. B
2010 Vol.19 (11): 113403-113501
[Abstract]
(1526)
[HTML 1 KB]
[PDF 389 KB]
(671)
|
|
107301 |
Li Jin(李劲),Liu Hong-Xia(刘红侠),Li Bin(李斌),Cao Lei(曹磊), and Yuan Bo(袁博) |
|
|
The study on two-dimensional analytical model for gate stack fully depleted strained Si on silicon-germanium-on-insulator MOSFETs |
|
|
|
Chin. Phys. B
2010 Vol.19 (10): 107301-107301
[Abstract]
(1361)
[HTML 1 KB]
[PDF 873 KB]
(704)
|
|
107101 |
Pu Hong-Bin(蒲红斌), Cao Lin(曹琳), Chen Zhi-Ming(陈治明), Ren Jie(仁杰), and Nan Ya-Gong(南雅公) |
|
|
Modeling of 4H–SiC multi-floating-junction Schottky barrier diode |
|
|
|
Chin. Phys. B
2010 Vol.19 (10): 107101-107101
[Abstract]
(1588)
[HTML 1 KB]
[PDF 280 KB]
(842)
|
|
106106 |
Tang Hai-Ma(唐海马), Zheng Zhong-Shan(郑中山), Zhang En-Xia(张恩霞), Yu Fang(于芳), Li Ning(李宁), and Wang Ning-Juan(王宁娟) |
|
|
Influence of nitrogen implantation into the buried oxide on the radiation hardness of silicon-on-insulator wafers |
|
|
|
Chin. Phys. B
2010 Vol.19 (10): 106106-106106
[Abstract]
(1385)
[HTML 1 KB]
[PDF 818 KB]
(772)
|
|
17204 |
Wang Shou-Guo(王守国), Zhang Yan(张岩), Zhang Yi-Men(张义门), and Zhang Yu-Ming(张玉明) |
|
|
Ohmic contacts of 4H-SiC on ion-implantation layers |
|
|
|
Chin. Phys. B
2010 Vol.19 (1): 17204-017204
[Abstract]
(1442)
[HTML 1 KB]
[PDF 322 KB]
(839)
|
|
17203 |
Wang Shou-Guo(王守国), Zhang Yan(张岩), Zhang Yi-Men(张义门), and Zhang Yu-Ming(张玉明) |
|
|
Characterization of ion-implanted 4H-SiC Schottky barrier diodes |
|
|
|
Chin. Phys. B
2010 Vol.19 (1): 17203-017203
[Abstract]
(1383)
[HTML 1 KB]
[PDF 344 KB]
(962)
|
|
14601 |
Xu Hong-Hua(许洪华), Liu Xiao-Yan(刘晓彦), He Yu-Hui(何毓辉), Fan Chun(樊春), Du Gang(杜刚), Sun Ai-Dong(孙爱东), Han Ru-Qi(韩汝琦), and Kang Jin-Feng(康晋锋) |
|
|
Valence band variation in Si (110) nanowire induced by a covered insulator |
|
|
|
Chin. Phys. B
2010 Vol.19 (1): 14601-014601
[Abstract]
(1438)
[HTML 1 KB]
[PDF 337 KB]
(716)
|
|
14219 |
Zhu Yu(朱宇), Xu Xue-Jun(徐学俊), Li Zhi-Yong(李智勇), Zhou Liang(周亮), Han Wei-Hua(韩伟华),Fan Zhong-Chao(樊中朝),Yu Yu-De(俞育德), and Yu Jin-Zhong(余金中) |
|
|
High efficiency and broad bandwidth grating coupler between nanophotonic waveguide and fibre |
|
|
|
Chin. Phys. B
2010 Vol.19 (1): 14219-014219
[Abstract]
(1501)
[HTML 1 KB]
[PDF 1604 KB]
(1351)
|
|
3900 |
Xu Xue-Jun(徐学俊), Chen Shao-Wu(陈少武), Xu Hai-Hua (徐海华), Sun Yang(孙阳), Yu Yu-De(俞育德), Yu Jin-Zhong(余金中), and Wang Qi-Ming(王启明) |
|
|
High-speed 2×2 silicon-based electro-optic switch with nanosecond switch time |
|
|
|
Chin. Phys. B
2009 Vol.18 (9): 3900-3904
[Abstract]
(1555)
[HTML 1 KB]
[PDF 1451 KB]
(996)
|
|
3563 |
Han Xiao-Yan(韩晓艳), Hou Guo-Fu(侯国付), Zhang Xiao-Dan(张晓丹), Wei Chang-Chun(魏长春), Li Gui-Jun(李贵君), Zhang De-Kun(张德坤), Chen Xin-Liang(陈新亮), Sun Jian(孙健), Zhang Jian-Jun(张建军), Zhao Ying(赵颖), and Geng Xin-Hua(耿新华) |
|
|
Influence of the total gas flow rate on high rate growth microcrystalline silicon films and solar cells |
|
|
|
Chin. Phys. B
2009 Vol.18 (8): 3563-3567
[Abstract]
(1131)
[HTML 1 KB]
[PDF 287 KB]
(570)
|
|
3490 |
Zhang Lin(张林), Zhang Yi-Men(张义门), Zhang Yu-Ming(张玉明), Han Chao(韩超), and Ma Yong-Ji(马永吉) |
|
|
High energy electron radiation effect on Ni/4H-SiC SBD and Ohmic contact |
|
|
|
Chin. Phys. B
2009 Vol.18 (8): 3490-3494
[Abstract]
(1586)
[HTML 1 KB]
[PDF 1316 KB]
(1026)
|
|
3044 |
Ding Wu-Chang(丁武昌), Liu Yan(刘艳), Zhang Yun(张云), Guo Jian-Chuan(郭剑川), Zuo Yu-Hua(左玉华), Cheng Bu-Wen(成步文), Yu Jin-Zhong(余金中), and Wang Qi-Ming(王启明) |
|
|
A comparison of silicon oxide and nitride as host matrices on the photoluminescence from Er+ ions |
|
|
|
Chin. Phys. B
2009 Vol.18 (7): 3044-3048
[Abstract]
(1427)
[HTML 1 KB]
[PDF 176 KB]
(651)
|
|
2920 |
Liu Wen-Liang(刘文亮), Zhang Kai-Wang(张凯旺), and Zhong Jian-Xin(钟建新) |
|
|
Thermal stability of silicon nanowires: atomistic simulation study |
|
|
|
Chin. Phys. B
2009 Vol.18 (7): 2920-2924
[Abstract]
(1499)
[HTML 1 KB]
[PDF 536 KB]
(751)
|
|
1931 |
Zhang Lin(张林), Zhang Yi-Men(张义门), Zhang Yu-Ming(张玉明), Han Chao(韩超), and Ma Yong-Ji(马永吉) |
|
|
High energy electron radiation effect on Ni and Ti/4H-SiC Schottky barrier diode at room temperature |
|
|
|
Chin. Phys. B
2009 Vol.18 (5): 1931-1934
[Abstract]
(1438)
[HTML 1 KB]
[PDF 287 KB]
(695)
|
|
778 |
Liu Xing-Chong(刘兴翀), Lu Zhi-Hai(陆智海), Lin Ying-Bin(林应斌), Wang Jian-Feng(王剑峰), Lu Zhong-Lin(路忠林), Lü Li-Ya(吕丽娅), Zhang Feng-Ming(张凤鸣), and Du You-Wei(都有为) |
|
|
Effect of hydrogenation time on magnetic and electrical properties of polycrystalline Si0.956Mn0.044:B thin films |
|
|
|
Chin. Phys. B
2009 Vol.18 (2): 778-782
[Abstract]
(1067)
[HTML 1 KB]
[PDF 206 KB]
(581)
|
|
773 |
Wang Jin-Xiao(王金晓), Qin Yan-Li(秦艳丽), Yan Heng-Qing(闫恒庆), Gao Ping-Qi(高平奇), Li Jun-Shuai(栗军帅), Yin Min(尹旻), and He De-Yan(贺德衍) |
|
|
Columnar growth of crystalline silicon films on aluminium-coated glass by inductively coupled plasma CVD at room temperature |
|
|
|
Chin. Phys. B
2009 Vol.18 (2): 773-777
[Abstract]
(1140)
[HTML 0 KB]
[PDF 2786 KB]
(572)
|
|
734 |
Liu Hong-Xia(刘红霞), Zhang He-Ming(张鹤鸣), Hu Hui-Yong(胡辉勇), and Song Jiu-Xu(宋久旭) |
|
|
Structural feature and electronic property of an (8, 0) carbon--silicon carbide nanotube heterojunction |
|
|
|
Chin. Phys. B
2009 Vol.18 (2): 734-737
[Abstract]
(1147)
[HTML 0 KB]
[PDF 540 KB]
(665)
|
|
5066 |
Huang Wei-Qi(黄伟其),ü Quan(吕泉), Zhang Rong-Tao(张荣涛), Wang Xiao-Yun(王晓允), and Yu Shi-Qiang(于示强) |
|
|
Localized electronic states in gaps on hole-net structures of silicon |
|
|
|
Chin. Phys. B
2009 Vol.18 (11): 5066-5071
[Abstract]
(1710)
[HTML 1 KB]
[PDF 1918 KB]
(638)
|
|
4906 |
Yang Yu(杨宇), Wang Chong(王茺), Yang Rui-Dong(杨瑞东), Li Liang(李亮), Xiong Fei(熊飞), and Bao Ji-Ming |
|
|
Photoluminescence evolution in self-ion-implanted and annealed silicon |
|
|
|
Chin. Phys. B
2009 Vol.18 (11): 4906-4911
[Abstract]
(1661)
[HTML 1 KB]
[PDF 790 KB]
(900)
|
|
4558 |
Zhang Xiao-Dan(张晓丹), Sun Fu-He(孙福和), Wei Chang-Chun(魏长春), Sun Jian(孙建), Zhang De-Kun(张德坤), Geng Xin-Hua(耿新华), Xiong Shao-Zhen(熊绍珍), and Zhao Ying(赵颖) |
|
|
Research on the boron contamination at the p/i interface of microcrystalline silicon solar cells deposited in a single PECVD chamber |
|
|
|
Chin. Phys. B
2009 Vol.18 (10): 4558-4563
[Abstract]
(1241)
[HTML 1 KB]
[PDF 200 KB]
(707)
|
|
4456 |
Cao Quan-Jun(曹全君), Zhang Yi-Men(张义门), and Jia Li-Xin(贾立新) |
|
|
Model and analysis of drain induced barrier lowering effect for 4H--SiC metal semiconductor field effect transistor |
|
|
|
Chin. Phys. B
2009 Vol.18 (10): 4456-4459
[Abstract]
(1581)
[HTML 1 KB]
[PDF 400 KB]
(833)
|
|
315 |
Hu Sheng-Dong(胡盛东), Zhang Bo(张波), and Li Zhao-Ji(李肇基) |
|
|
A new analytical model of high voltage silicon on insulator (SOI) thin film devices |
|
|
|
Chin. Phys. B
2009 Vol.18 (1): 315-319
[Abstract]
(1159)
[HTML 1 KB]
[PDF 672 KB]
(1125)
|
|
3459 |
Yang Yin-Tang(杨银堂), Han Ru(韩茹), and Wang-Ping(王平) |
|
|
Raman analysis of defects in n-type 4H-SiC |
|
|
|
Chin. Phys. B
2008 Vol.17 (9): 3459-3463
[Abstract]
(1564)
[HTML 1 KB]
[PDF 1309 KB]
(952)
|
|
2938 |
Zhang Cai-Rong(张材荣), Chen Yu-Hong(陈玉红), Wang Dao-Bin(王道斌), Wu You-Zhi(吴有智), and Chen Hong-Shan(陈宏善) |
|
|
Structures and electronic properties of SimN8-m(0<m<8) clusters: a density functional theory study |
|
|
|
Chin. Phys. B
2008 Vol.17 (8): 2938-2950
[Abstract]
(1358)
[HTML 1 KB]
[PDF 4284 KB]
(1070)
|
|
2730 |
Li Chen(李琛), Liao Huai-Lin (廖怀林),Huang Ru(黄如), and Wang Yang-Yuan (王阳元) |
|
|
A CMOS-compatible silicon substrate optimization technique and its application in radio frequency crosstalk isolation |
|
|
|
Chin. Phys. B
2008 Vol.17 (7): 2730-2738
[Abstract]
(1401)
[HTML 0 KB]
[PDF 14383 KB]
(411)
|
|
2562 |
Huang Qing-Zhong(黄庆忠), Yu Jin-Zhong(余金中), Chen Shao-Wu(陈少武), Xu Xue-Jun(徐学俊), Han Wei-Hua(韩伟华), and Fan Zhong-Chao(樊中朝) |
|
|
Design, fabrication and characterization of a high-performance microring resonator in silicon-on-insulator |
|
|
|
Chin. Phys. B
2008 Vol.17 (7): 2562-2566
[Abstract]
(1559)
[HTML 0 KB]
[PDF 2514 KB]
(715)
|
|
2197 |
Wang Lian(王莲), Song Chong-Fu(宋崇富), Sun Jian-Qiu(孙剑秋), Hou Ying(侯莹), Li Xiao-Guang(李晓光), and Li Quan-Xin(李全新) |
|
|
Oxidation of silicon surface with atomic oxygen radical anions |
|
|
|
Chin. Phys. B
2008 Vol.17 (6): 2197-2203
[Abstract]
(1636)
[HTML 1 KB]
[PDF 507 KB]
(612)
|
|
1817 |
Huang Wei-Qi(黄伟其), Xu Li(许丽), Wang Hai-Xu(王海旭), Jin Feng(金峰), Wu Ke-Yue(吴克跃), Liu Shi-Rong(刘世荣), Qin Cao-Jian(秦朝建), and Qin Shui-Jie(秦水介) |
|
|
Stimulated photoluminescence emission and trap states in Si/SiO2 interface formed by irradiation of laser |
|
|
|
Chin. Phys. B
2008 Vol.17 (5): 1817-1820
[Abstract]
(1167)
[HTML 1 KB]
[PDF 523 KB]
(661)
|
|
1415 |
Meng Zhi-Guo(孟志国), Li Yang(李阳), Wu Chun-Ya(吴春亚), Zhao Shu-Yun(赵淑芸), Li Juan(李娟), Man Wong(王文), Hoi Sing-Kwok(郭海诚), and Xiong Shao-Zhen(熊绍珍) |
|
|
Dynamic Ni gettered by PSG from S-MIC poly-Si and its TFTs |
|
|
|
Chin. Phys. B
2008 Vol.17 (4): 1415-1420
[Abstract]
(1663)
[HTML 1 KB]
[PDF 2804 KB]
(844)
|
|
4622 |
Cao Quan-Jun (曹全君), Zhang Yi-Men (张义门), Zhang Yu-Ming (张玉明) |
|
|
A new physics-based self-heating effect model for 4H-SiC MESFETs |
|
|
|
Chin. Phys. B
2008 Vol.17 (12): 4622-4626
[Abstract]
(1482)
[HTML 1 KB]
[PDF 620 KB]
(643)
|
|
4292 |
Zhang Jia-Hong (张加宏), Huang Qing-An (黄庆安), Yu Hong (于 虹), Lei Shuang-Ying (雷双瑛) |
|
|
Theoretical study of electromechanical property in a p-type silicon nanoplate for mechanical sensors |
|
|
|
Chin. Phys. B
2008 Vol.17 (11): 4292-4299
[Abstract]
(1277)
[HTML 0 KB]
[PDF 309 KB]
(537)
|
|
3836 |
Li Bing-Sheng(李炳生), Zhang Chong-Hong(张崇宏), Hao Xiao-Peng(郝小鹏), Wang Dan-Ni(王丹妮), Zhou Li-Hong(周丽宏), Zhang Hong-Hua(张洪华), Yang Yi-Tao(杨义涛), and Zhang Li-Qing(张丽卿) |
|
|
Study of He-induced nano-cavities as sinks of oxygen for forming silicon-on-insulator |
|
|
|
Chin. Phys. B
2008 Vol.17 (10): 3836-3840
[Abstract]
(1186)
[HTML 1 KB]
[PDF 449 KB]
(548)
|
|
3753 |
Huang Wei-Qi(黄伟其), Wang Hai-Xu(王海旭), Jin Feng(金峰), and Qin Cao-Jian(秦朝建) |
|
|
Trap states in oxidation layer of nanocrystal Si |
|
|
|
Chin. Phys. B
2008 Vol.17 (10): 3753-3758
[Abstract]
(1276)
[HTML 1 KB]
[PDF 4006 KB]
(553)
|
|
228 |
Tang Hai-Xia(唐海侠), Zuo Yu-Hua(左玉华), Yu Jin-Zhong(余金中), and Wang Qi-Ming(王启明) |
|
|
A ministop band in a single-defect photonic crystal waveguide based on silicon on insulator |
|
|
|
Chin. Phys. B
2008 Vol.17 (1): 228-231
[Abstract]
(1454)
[HTML 1 KB]
[PDF 475 KB]
(659)
|
|
2310 |
Yu Wei(于威), Wang Chun-Sheng(王春生), Lu Wan-Bing(路万兵), He Jie(何杰), Han Xiao-Xia(韩晓霞), and Fu Guang-Sheng(傅广生) |
|
|
Electronic structure and defect states of transition films from amorphous to microcrystalline silicon studied by surface photovoltage spectroscopy |
|
|
|
Chin. Phys. B
2007 Vol.16 (8): 2310-2314
[Abstract]
(1271)
[HTML 1 KB]
[PDF 621 KB]
(549)
|
|
1753 |
Guo Hui(郭辉), Zhang Yi-Men(张义门), Qiao Da-Yong(乔大勇), Sun Lei(孙磊), and Zhang Yu-Ming(张玉明) |
|
|
The fabrication of nickel silicide ohmic contacts to n-type 6H-silicon carbide |
|
|
|
Chin. Phys. B
2007 Vol.16 (6): 1753-1756
[Abstract]
(1342)
[HTML 1 KB]
[PDF 925 KB]
(1079)
|
|
1276 |
Zhang Yi-Men(张义门), Zhou Yong-Hua(周拥华), and Zhang Yu-Ming(张玉明) |
|
|
The simulation of temperature dependence of responsivity and response time for 6H-SiC UV photodetector |
|
|
|
Chin. Phys. B
2007 Vol.16 (5): 1276-1279
[Abstract]
(1333)
[HTML 1 KB]
[PDF 417 KB]
(782)
|
|
1125 |
Liu Guo-Han(刘国汉), Ding Yi(丁毅), Zhang Wen-Li(张文理), Chen Guang-Hua(陈光华), He De-Yan(贺德衍), and Deng Jin-Xiang(邓金祥) |
|
|
Light induced microstructure transformation in a-Si:H films |
|
|
|
Chin. Phys. B
2007 Vol.16 (4): 1125-1128
[Abstract]
(1294)
[HTML 1 KB]
[PDF 144 KB]
(547)
|
|
848 |
Wang Jin-Liang(王金良) and Wu Er-Xing(毋二省) |
|
|
Characterization of doped hydrogenated nanocrystalline silicon films prepared by plasma enhanced chemical vapour deposition |
|
|
|
Chin. Phys. B
2007 Vol.16 (3): 848-853
[Abstract]
(1525)
[HTML 1 KB]
[PDF 378 KB]
(578)
|
|
795 |
Li Zhi-Gang(李志刚), Long Shi-Bing(龙世兵), Liu Ming(刘明), Wang Cong-Shun(王丛舜), Jia Rui(贾锐), Lv Jin(闾锦), and Shi Yi(施毅) |
|
|
Charge storage characteristics of hydrogenated nanocrystalline silicon film prepared by rapid thermal annealing |
|
|
|
Chin. Phys. B
2007 Vol.16 (3): 795-798
[Abstract]
(1704)
[HTML 1 KB]
[PDF 760 KB]
(652)
|
|
553 |
Hou Guo-Fu(侯国付), Xue Jun-Ming(薛俊明), Guo Qun-Chao(郭群超), Sun Jian(孙建), Zhao Ying(赵颖), Geng Xin-Hua(耿新华), and Li Yi-Gang(李乙钢) |
|
|
Formation mechanism of incubation layers in the initial stage of microcrystalline silicon growth by PECVD |
|
|
|
Chin. Phys. B
2007 Vol.16 (2): 553-557
[Abstract]
(1217)
[HTML 1 KB]
[PDF 151 KB]
(694)
|
|
3108 |
Yao Zhi-Tao(姚志涛), Sun Xin-Rui(孙新瑞), Xu Hai-Jun(许海军), and Li Xin-Jian(李新建) |
|
|
Preparation, structural and electrical properties of zinc oxide grown on silicon nanoporous pillar array |
|
|
|
Chin. Phys. B
2007 Vol.16 (10): 3108-3113
[Abstract]
(1121)
[HTML 1 KB]
[PDF 944 KB]
(628)
|
|
2142 |
Guo Hui(郭辉), Zhang Yi-Men(张义门), and Zhang Yu-Ming(张玉明) |
|
|
Ti--Al based ohmic contacts to n-type 6H-SiC with P+ ion implantation |
|
|
|
Chin. Phys. B
2006 Vol.15 (9): 2142-2145
[Abstract]
(1129)
[HTML 1 KB]
[PDF 262 KB]
(726)
|
|
1374 |
Yang Hui-Dong (杨恢东), Su Zhong-Yi (苏中义) |
|
|
The role of hydrogen in hydrogenated microcrystalline silicon film and in deposition process with VHF-PECVD technique |
|
|
|
Chin. Phys. B
2006 Vol.15 (6): 1374-1378
[Abstract]
(1585)
[HTML 1 KB]
[PDF 246 KB]
(774)
|
|
1320 |
Wu Zhi-Meng (吴志猛), Lei Qing-Song (雷青松), Geng Xin-Hua (耿新华), Zhao Ying (赵颖), Sun Jian (孙建), Xi Jian-Ping (奚建平) |
|
|
Effect of substrate temperature and pressure on properties of microcrystalline silicon films |
|
|
|
Chin. Phys. B
2006 Vol.15 (6): 1320-1324
[Abstract]
(1606)
[HTML 1 KB]
[PDF 469 KB]
(705)
|
|
1310 |
Liao Yan-Ping (廖燕平), Shao Xi-Bin (邵喜斌), Gao Feng-Li (郜峰利), Luo Wen-Sheng (骆文生), Wu Yuan (吴渊), Fu Guo-Zhu (付国柱), Jing Hai (荆海), Ma Kai (马凯) |
|
|
Nickel-disilicide-assisted excimer laser crystallization of amorphous silicon |
|
|
|
Chin. Phys. B
2006 Vol.15 (6): 1310-1314
[Abstract]
(1482)
[HTML 1 KB]
[PDF 468 KB]
(682)
|
|
1110 |
Gao Yan-Tao (高艳涛), Zhang Xiao-Dan (张晓丹), Zhao Ying (赵颖), Sun Jian (孙健), Zhu Feng (朱峰), Wei Chang-Chun (魏长春), Chen Fei (陈飞) |
|
|
Influence of total gas flow rate on microcrystalline silicon films prepared by VHF-PECVD |
|
|
|
Chin. Phys. B
2006 Vol.15 (5): 1110-1113
[Abstract]
(1407)
[HTML 1 KB]
[PDF 266 KB]
(682)
|
|
813 |
Ding Yi (丁毅), Liu Guo-Han (刘国汉), Chen Guang-Hua (陈光华), He De-Yan (贺德衍), Zhu Xiu-Hong (朱秀红), Zhang Wen-Li (张文理), He Bin (何斌), Zhang Xiao-Kang (张晓康), Tian Ling (田凌), Ma Zhan-Jie (马占杰) |
|
|
Semi-quantitative study on the Staebler--Wronski effect of hydrogenated amorphous silicon films prepared with HW-ECR-CVD system |
|
|
|
Chin. Phys. B
2006 Vol.15 (4): 813-817
[Abstract]
(1823)
[HTML 1 KB]
[PDF 268 KB]
(510)
|
|
792 |
Zhang En-Xia (张恩霞), Qian Cong (钱聪), Zhang Zheng-Xuan (张正选), Lin Cheng-Lu (林成鲁), Wang Xi (王曦), Wang Ying-Min (王英民), Wang Xiao-He(王晓荷), Zhao Gui-Ru (赵桂茹), En Yun-Fei (恩云飞), Luo Hong-Wei (罗宏伟), Shi Qian (师谦) |
|
|
Improvement of total-dose irradiation hardness of silicon-on-insulator materials by modifying the buried oxide layer with ion implantation |
|
|
|
Chin. Phys. B
2006 Vol.15 (4): 792-797
[Abstract]
(1576)
[HTML 1 KB]
[PDF 325 KB]
(681)
|
|
3033 |
Lei Qing-Song(雷青松), Wu Zhi-Meng(吴志猛), Geng Xin-Hua(耿新华), Zhao Ying(赵颖), Sun Jian(孙健), and Xi Jian-Ping(奚建平) |
|
|
Research on the optimum hydrogenated silicon thin films for application in solar cells |
|
|
|
Chin. Phys. B
2006 Vol.15 (12): 3033-3038
[Abstract]
(994)
[HTML 1 KB]
[PDF 185 KB]
(647)
|
|
2751 |
Bu Wei-Hai(卜伟海), Huang Ru(黄如), Li Ming(黎明), Tian Yu(田豫), Wu Da-Ke(吴大可), Chan Man-Sun(陈文新), and Wang Yang-Yuan(王阳元) |
|
|
Silicon-on-nothing MOSFETs fabricated with hydrogenand helium co-implantation |
|
|
|
Chin. Phys. B
2006 Vol.15 (11): 2751-2755
[Abstract]
(1558)
[HTML 1 KB]
[PDF 1173 KB]
(739)
|
|
2713 |
Wu Zhi-Meng(吴志猛), Lei Qing-Song(雷青松), Geng Xin-Hua(耿新华), Zhao Ying(赵颖), Sun Jian(孙建), and Xi Jian-Ping(奚建平) |
|
|
Optical emission spectroscopy study on depositionprocess of microcrystalline silicon |
|
|
|
Chin. Phys. B
2006 Vol.15 (11): 2713-2717
[Abstract]
(1422)
[HTML 1 KB]
[PDF 246 KB]
(940)
|
|
1626 |
Qi Le-Jun (漆乐俊), Ling Li (凌立), Li Wei-Qing (李维卿), Yang Xin-Ju (杨新菊), Gu Chang-Xin (顾昌鑫), Lu Ming (陆明) |
|
|
Surface morphology evolution of Si(110) by ion sputtering as a function of sample temperature |
|
|
|
Chin. Phys. B
2005 Vol.14 (8): 1626-1630
[Abstract]
(1056)
[HTML 1 KB]
[PDF 3125 KB]
(763)
|
|
834 |
Zhu Xiu-Hong (朱秀红), Chen Guang-Hua (陈光华), Yin Sheng-Yi (阴生毅), Rong Yan-Dong (荣延栋), Zhang Wen-Li (张文理), Hu Yue-Hui (胡跃辉) |
|
|
Preparation of high-quality hydrogenated amorphous silicon film with a new microwave electron cyclotron resonance chemical vapour deposition system assisted with hot wire |
|
|
|
Chin. Phys. B
2005 Vol.14 (4): 834-837
[Abstract]
(685)
[HTML 1 KB]
[PDF 240 KB]
(415)
|
|
599 |
Gao Xin (高欣), Sun Guo-Sheng (孙国胜), Li Jin-Min (李晋闽), Zhang Yong-Xin (张永兴), Wang Lei (王雷), Zhao Wan-Shun (赵万顺), Zeng Yi-Ping (曾一平) |
|
|
Effect of ion flux on recrystallization and resistance lowering in phosphorus-implanted (0001)-oriented 4H—SiC |
|
|
|
Chin. Phys. B
2005 Vol.14 (3): 599-603
[Abstract]
(1227)
[HTML 1 KB]
[PDF 275 KB]
(488)
|
|
2348 |
Zhu Xiu-Hong (朱秀红), Chen Guang-Hua (陈光华), Zhang Wen-Li (张文理), Ding Yi (丁毅), Ma Zhan-Jie (马占洁), Hu Yue-Hui (胡跃辉), He Bin (何斌), Rong Yan-Dong (荣延栋) |
|
|
Study on stability of hydrogenated amorphous silicon films |
|
|
|
Chin. Phys. B
2005 Vol.14 (11): 2348-2351
[Abstract]
(973)
[HTML 1 KB]
[PDF 231 KB]
(471)
|
|
2342 |
Lei Qing-Song (雷青松), Wu Zhi-Meng (吴志猛), Geng Xin-Hua (耿新华), Zhao Ying (赵颖), Xi Jian-Ping (奚建平) |
|
|
Influence of the deposition parameters on the transition region of hydrogenated silicon films growth |
|
|
|
Chin. Phys. B
2005 Vol.14 (11): 2342-2347
[Abstract]
(736)
[HTML 1 KB]
[PDF 291 KB]
(459)
|
|
1370 |
Zhang Xiao-Dan (张晓丹), Zhao Ying (赵颖), Zhu Feng (朱锋), Sun Jian (孙建), Wei Chang-Chun (魏长春), Hou Guo-Fu (侯国付), Geng Xin-Hua (耿新华), Xiong Shao-Zhen (熊绍珍) |
|
|
Fabrication of high growth rate solar-cell-quality μc-Si:H thin films by VHF-PECVD |
|
|
|
Chin. Phys. B
2004 Vol.13 (8): 1370-1374
[Abstract]
(892)
[HTML 1 KB]
[PDF 226 KB]
(501)
|
|
85 |
Wei Shu-Yi (危书义), Wang Jian-Guang (汪建广), Ma Li (马丽) |
|
|
Chemisorption of Au on Si(001) surface |
|
|
|
Chin. Phys. B
2004 Vol.13 (1): 85-89
[Abstract]
(1343)
[HTML 1 KB]
[PDF 194 KB]
(491)
|
|
389 |
Yang Lin-An (杨林安), Yu Chun-Li (于春利), Zhang Yi-Men (张义门), Zhang Yu-Ming (张玉明) |
|
|
Low frequency effects of surface states on 4H-SiC metal-semiconductor field effect transistor |
|
|
|
Chin. Phys. B
2003 Vol.12 (4): 389-393
[Abstract]
(1157)
[HTML 0 KB]
[PDF 233 KB]
(515)
|
|
322 |
Wang Shou-Guo (王守国), Yang Lin-An (杨林安), Zhang Yi-Men (张义门), Zhang Yu-Ming (张玉明), Zhang Zhi-Yong (张志勇), Yan Jun-Feng (闫军锋) |
|
|
Fabrication and characteristics of lateral Ti/4H-SiC Schottky barrier diodes |
|
|
|
Chin. Phys. B
2003 Vol.12 (3): 322-324
[Abstract]
(1307)
[HTML 1 KB]
[PDF 205 KB]
(527)
|
|
94 |
Wang Shou-Guo (王守国), Zhang Yi-Men (张义门), Zhang Yu-Ming (张玉明) |
|
|
Parameter extraction for a Ti/4H-SiC Schottky diode |
|
|
|
Chin. Phys. B
2003 Vol.12 (1): 94-96
[Abstract]
(1469)
[HTML 1 KB]
[PDF 216 KB]
(619)
|
|
89 |
Wang Shou-Guo (王守国), Zhang Yi-Men (张义门), Zhang Yu-Ming (张玉明) |
|
|
Theoretical investigation of incomplete ionization of dopants in uniform and ion-implanted 4H-SiC MESFETs |
|
|
|
Chin. Phys. B
2003 Vol.12 (1): 89-93
[Abstract]
(1115)
[HTML 1 KB]
[PDF 247 KB]
(580)
|
|
75 |
Fu Guang-Sheng (傅广生), Yu Wei (于 威), Li She-Qiang (李社强), Hou Hai-Hong (侯海虹), Peng Ying-Cai (彭英才), Han Li (韩 理) |
|
|
Nanocrystalline silicon films prepared by laser-induced crystallization |
|
|
|
Chin. Phys. B
2003 Vol.12 (1): 75-78
[Abstract]
(1066)
[HTML 1 KB]
[PDF 3105 KB]
(552)
|
|
112 |
Hu Zhi-Hua (胡志华), Liao Xian-Bo (廖显伯), Liu Zu-Ming (刘祖明), Xia Chao-Feng (夏朝凤), Chen Ting-Jin (陈庭金) |
|
|
Hydrogen passivation of multi-crystalline silicon solar cells |
|
|
|
Chin. Phys. B
2003 Vol.12 (1): 112-115
[Abstract]
(1037)
[HTML 1 KB]
[PDF 223 KB]
(460)
|
|
492 |
Wang Yong-Qian (王永谦), Liao Xian-Bo (廖显伯), Diao Hong-Wei (刁宏伟), Zhang Shi-Bin (张世斌), Xu Yan-Yue (徐艳月), Chen Chang-Yong (陈长勇), Chen Wei-De (陈维德), Kong Guang-Lin (孔光临) |
|
|
Structural properties of polycrystalline silicon films formed by pulsed rapid thermal processing |
|
|
|
Chin. Phys. B
2002 Vol.11 (5): 492-495
[Abstract]
(1151)
[HTML 0 KB]
[PDF 246 KB]
(498)
|
|
748 |
Shi Jian-jun (石建军), Huang Shao-yun (黄少云), Chen Kun-ji (陈坤基), Huang Xin-fan (黄信凡), Xu Jun (徐骏) |
|
|
TRANSPORT PROPERTIES OF $\mu$c-Si:H FILMS PREPARED BY VERY HIGH HYDROGEN-DILUTED SILANE PLASMA |
|
|
|
Chin. Phys. B
2001 Vol.10 (8): 748-750
[Abstract]
(912)
[HTML 1 KB]
[PDF 111 KB]
(463)
|
|
650 |
Xiao Zhi-song (肖志松), Xu Fei (徐飞), Zhang Tong-he (张通和), Cheng Guo-an (程国安), Xie Da-tao (谢大韬), Gu Lan-lan (顾岚岚) |
|
|
INFRARED EMISSION FROM Si IMPLANTED WITH HIGH Er CONCENTRATION |
|
|
|
Chin. Phys. B
2001 Vol.10 (7): 650-654
[Abstract]
(1177)
[HTML 1 KB]
[PDF 390 KB]
(507)
|
|
19 |
Xie Fang-qing (谢仿卿), S. Molitor, Th. Koch, P. von Blanckenhagen |
|
|
FOURIER ANALYSIS OF TEMPORAL AND SPATIAL OSCILLATIONS OF TUNNELING CURRENT IN SCANNING TUNNELING MICROSCOPY |
|
|
|
Chin. Phys. B
2001 Vol.10 (13): 19-26
[Abstract]
(1195)
[HTML 1 KB]
[PDF 1106 KB]
(1014)
|
|
111 |
Zhang Ze (张泽), S.T.Lee (李述汤) |
|
|
ON THE STUDY OF SILICON NANO-WIRES SELF-ASSEMBLED AS PARTICLES |
|
|
|
Chin. Phys. B
2001 Vol.10 (13): 111-116
[Abstract]
(1112)
[HTML 1 KB]
[PDF 1622 KB]
(579)
|
|
537 |
Li Jian (李健), Wang Li (王立), Huang Xin-fan (黄信凡), Jiang Ming (蒋明), Li Wei (李伟), Wang Zhao-ye (王朝晔), Xu Jun (徐骏), Liu Zhi-guo (刘治国), Chen Kun-ji (陈坤基) |
|
|
FABRICATION AND CHARACTERIZATION OF THE SIZE-CONTROLLED AND PATTERNED nc-Si DOTS |
|
|
|
Chin. Phys. B
2000 Vol.9 (7): 537-540
[Abstract]
(1155)
[HTML 1 KB]
[PDF 1488 KB]
(636)
|
|