Etching mask optimization of InAs/GaSb superlattice mid-wavelength infared 640 × 512 focal plane array
Hao Hong-Yue1, 2, Xiang Wei1, 2, Wang Guo-Wei1, 2, Xu Ying-Qiang1, 2, Han Xi1, 2, Sun Yao-Yao1, 2, Jiang Dong-Wei1, 2, Zhang Yu1, 2, Liao Yong-Ping1, 2, Wei Si-Hang1, 2, Niu Zhi-Chuan1, 2, †
State Key Laboratory for Superlattices and Microstructures, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083, China
Synergetic Innovation Center of Quantum Information and Quantum Physics, University of Science and Technology of China, Hefei 230026, China

 

† Corresponding author. E-mail: zcniu@semi.ac.cn

Project supported by the National Basic Research Program of China (Grant Nos. 2014CB643903, 2013CB932904, 2012CB932701, and 2011CB922201), the National Special Funds for the Development of Major Research Equipment and Instruments, China (Grant No. 2012YQ140005), the National Natural Science Foundation of China (Grant Nos. 61274013, U1037602, 61306013, and 61290303), the Strategic Priority Research Program (B) of the Chinese Academy of Sciences (Grant No. XDB01010200), and China Postdoctoral Science Foundation (Grant No. 2014M561029).

Abstract

In this paper we focused on the mask technology of inductively coupled plasma (ICP) etching for the mesa fabrication of infrared focal plane arrays (FPA). By using the SiO2 mask, the mesa has higher graphics transfer accuracy and creates less micro-ripples in sidewalls. Comparing the IV characterization of detectors by using two different masks, the detector using the SiO2 hard mask has the of , while the detector using the photoresist mask has the of in 77 K. After that we focused on the method of removing the remaining SiO2 after mesa etching. The dry ICP etching and chemical buffer oxide etcher (BOE) based on HF and NH4F are used in this part. Detectors using BOE only have closer to that using the combining method, but it leads to gaps on mesas because of the corrosion on AlSb layer by BOE. We finally choose the combining method and fabricated the 640× 512 FPA. The FPA with cutoff wavelength of 4.8 m has the average of and the average detectivity of at 77 K. The FPA has good uniformity with the bad dots rate of 1.21% and the noise equivalent temperature difference (NEDT) of 22.9 mK operating at 77 K.

1. Introduction

InAs/GaSb type-II superlattice (T2SL) was first proposed by Sai-Halasz et al. in 1977.[1] Since then the InAs/(In, Ga)Sb T2SL system with the flexibility of changing the cutoff wavelength by changing the thickness of the InAs or (In, Ga)Sb layers, is of great importance for a variety of civil and military applications. The type-II superlattice structures based on the 6.1-Å family[2] (including InAs, GaSb, AlSb, and their compounds) have the capability of bandgap and band-offset tenability, and have shown their significance in improving the operating temperature of detectors in both mid-wavelength infrared (MWIR) and long-wavelength infrared (LWIR) regimes.[3] High operating temperature (HOT) MWIR detectors can significantly reduce the cost of cryogenic cooling, which leads to the reduction in size and total cost of the detector system.

The low dark current is needed to achieve high operating temperature. Architectures with unipolar barriers, either electronic barrier or hole barrier, such as M-structure,[46] complementary-barrier infrared detector,[7,8] W-structure,[9,10] N-structure,[11] nBn,[12,13] and pBiBn[14] have been designed by many research institutions. In addition, the fabrication steps, especially mesa etching[1518] and passivation methods,[1923] also have large influence in reducing the dark current for single-pixel detectors and especially focal plane arrays (FPAs).

In this paper, we present an MWIR high operating temperature detector with M-structure, and focus on the mask choice for inductively coupled plasma (ICP) mesa etching. We studied two different kinds of masks, SiO2 mask and photoresist mask, for mesa etching. We characterized the performance of our detectors by current–voltage curves. After the optimization of the etching mask we fabricated a 640× 512 MWIR FPA, which has the average detectivity of at 77 K.

2. Experiment
2.1. Structure

Our InAs/GaSb type-II superlattice material is grown by an MBE system, and grown on an n-type GaSb (100) double-sided polished substrate with elaborate perfect strain-compensation to avoid strain relaxation and interface-related defects to avoid the degradation of the superlattices’ optical and electrical properties.

The detector structure consists of a p-doped GaSb contact buffer layer, 504 periods of superlattices and an n-doped InAs cap layer. The whole structure is shown in Table 1. The M-structure is named for the letter M shape of the band alignment of the AlSb/GaSb/InAs/GaSb/AlSb layer, which promotes the structure facilitating the drifting and collection of holes. These advantages can lead to good properties for high performance infrared detectors and FPAs.

Table 1.

Structure of HOT MWIR detectors.

.
2.2. Fabrication

Firstly, we focused on the mask technology of inductively coupled plasma (ICP) etching. In this step there were two different kinds of masks for ICP etching. But there would also be a problem. By using the SiO2 hard mask we can get much smoother sidewalls than using photoresist mask, as shown in Fig. 1(a) and Fig. 1(b), but it may harm the material surface while eliminating the remaining SiO2. So we tried these two different mask methods after effectively utilizing the proper gas ratios and etching parameters, and measured their current–voltage characteristic.

Fig. 1. SEM image of mesa sidewalls etching with photoresist mask and (b) SiO2 mask.

After the study of ICP etching mask we fabricated the 640× 512 pixels detector arrays with 25- pixel pitch by dry etching through the top contact, SLs, into the GaSb buffer layer. The dry ICP etching was done by using a combination of CH4, Cl2, and Ar2 gases, and we got smooth sidewalls and clean surface after a good combination of them. Sidewalls of the mesas were covered with anodic sulfide as chemical passivation after removing the native oxide layer by hydrochloric acid (HCl) solution.[23] After the chemical passivation, a SiO2 layer with the thickness of 200 nm was applied as a physical passivation layer to protect the sulfur layer from the atmosphere, deposited by magnetron sputtering at room temperature. Top and bottom metal contacts were formed by using electron beam deposited Ti (500 Å)/Pt (500 Å)/Au (3000 Å). After that the indium bonds were thermally evaporated on the top metal pads. Then the FPAs were hybridized to integrated circuits (ROICs).[24] The fabrication was finished with the final substrate thinning and antireflection (AR) coating to perform imaging.

3. Data and result
3.1. Optimization of mask technology

Figure 2 shows the of detectors using different etching masks. Detectors using SiO2 hard mask has the of , which is four orders of magnitude higher than the detectors using the photoresist mask ( at 77 K. This was because by using as mask the photoresist must be thicker and baked in higher temperature. That leads to more micro-ripples, which are presented as a source for electrically active sites. Lithography for a hard mask needs thinner photoresist and baking in lower temperature for shorter time, so the hard mask has less micro-ripples in sidewalls.

Fig. 2. (color online) of detectors using SiO2 hard mask and photoresist soft mask in different temperatures.

With the increasing of working temperature, the detectors etched with different masks have closer value of . The reason is that the surface current is not the main mechanism of dark current at high temperature. The GR current and bulk current influence more with the increasing of temperature, which is only related to the structure and quality of the material.

However, the SiO2 masks cannot perform better because of the material erosion while removing the remaining SiO2 mask after ICP etching. We tried three different methods to move the SiO2 after ICP etching: ICP etching SiO2, chemical buffer oxide etcher (BOE) based on HF and NH4F and the combination method, which used BOE etching in 20 seconds after the ICP etching. The IV curve (Fig. 3) shows that detectors with combination method have the best performance with the of , and ICP etching shows the worst performance with the of . BOE etching is easy to operate and has no order of magnitude difference with the combination method ( , but with the increasing time of BOE etching, there are gaps on each pixel because of the corrosion of M layer by BOE, as shown in Fig. 4.

Fig. 3. (color online) of detectors with different methods to remove SiO2 after mesa etching.
Fig. 4. Gaps on AlSb layer corroded by BOE.
3.2. FPA

After the optimization of mask for mesa etching we fabricated the pixels mid-wavelength infrared FPAs with the cutoff wavelength of , as shown in Fig. 5(a). The current–voltage characteristic is shown in Fig. 5(b). The FPA has good uniformity with the noise equivalent temperature difference (NEDT) of 22.9 mK operating at 77 K. The NEDT image and the DC voltage image are shown in Fig. 5(c) and Fig. 5(d). The FPA has good uniformity with the bad dots rate of 1.21%. The average is at the bias of −50 mV and the average detectivity[25] is . Figure 6 shows the image obtained by the mid-wavelength infrared FPA at 77 K. The image has good quality with the details such as cold veins and the cold metal watchband.

Fig. 5. (color online) (a) Response, (b) current–voltage characteristic, (c) NEDT image, and (d) DC voltage image measured at 77 K.
Fig. 6. The image obtained by the pixels FPA at 77 K.
4. Conclusion

In conclusion, we focused on the mask technology of ICP etching for the mesa fabrication of infrared detectors, aimed at achieving lower dark current which led to the detector work at higher operating temperature. After we grew the InAs/GaSb SLs mid-wave infrared material with M structure, we fabricated the detectors etching with different masks. By using the SiO2 mask, the mesa has higher graphics transfer accuracy and creates less micro-ripples in sidewalls. Comparing the IV characterization of detectors by using two different masks, the detector using SiO2 hard mask has the of , while the detector using photoresist mask has the of at 77 K. After that we focused on the method of removing the remaining SiO2 after mesa etching. The detectors using BOE have closer to that using the combining method (both ICP etching and BOE), but it leads to gaps on mesas because of the corrosion on AlSb layer by BOE. We finally chose the SiO2 mask for ICP etching and used the combining method to remove the remaining SiO2 and fabricated the 640× 512 pixels FPA. The FPA with cutoff wavelength of has of and the average detectivity of . The FPA has good uniformity with the bad dots rate of 1.21% and the noise equivalent temperature difference (NEDT) of 22.9 mK at 77 K.

Reference
[1] Sai-Halasz G A Tsu R Esaki L 1977 Appl. Phys. Lett. 30 651
[2] Kroemer H 2003 Physica E: Low-dimensional Systems and Nanostructures 20 196
[3] Smith D L Mailhiot C 1987 J. Appl. Phys. 62 2545
[4] Nguyen B M Chen G Hoang A M Abdollahi Pour S Bogdanov S Razeghi M 2011 Appl. Phys. Lett. 99 033501
[5] Nguyen B M Razeghi M Nathan V Brown G J 2007 Proc. SPIE 6479 64790S
[6] Razeghi M Pour S A Huang E Chen G Haddadi A Nguyen B M 2011 Proc. SPIE 8012 80122Q
[7] Ting D Z Y Hill C J Soibel A Keo S A Mumolo J M Nguyen J Gunapala S D 2009 Appl. Phys. Lett. 95 023508
[8] Robinson E Souza A I D Ionescu A C Okerlund D 2014 Proc. SPIE 92200D
[9] Vurgaftman I Aifer E H Canedy C L Tischler J G Meyer J R Warner J H Jackson E M Hildebrandt G Sullivan G J 2006 Appl. Phys. Lett. 89 121114
[10] Aifer E H Warner J H Canedy C L Vurgaftman I Jackson E M Tischler J G Meyer J R Powell S P Olver K Tennant W E 2010 J. Electron. Mater. 39 1070
[11] Salihoglu O Muti A Kutluer K Tansel T Turan R Ergun Y Aydinli A 2012 Appl. Phys. Lett. 101 073505
[12] Rodriguez J B Plis E Bishop G Sharma Y D Kim H Dawson L R Krishna S 2007 Appl. Phys. Lett. 91 043514
[13] Kim H S Plis E Rodriguez J B Bishop G D Sharma Y D Dawson L R Krishna S Bundas J Cook R Burrows D Dennis R Patnaude K Reisinger A Sundaram M 2008 Appl. Phys. Lett. 92 183502
[14] Gautam N Kim H S Kutty M N Plis E Dawson L R Krishna S 2010 Appl. Phys. Lett. 96 231107
[15] Mairiaux E Desplanque L Wallart X Dambrine G Zaknoune M 2008 IEEE
[16] De Salvo G C Kaspi R Bozada C A 1994 J. Electrochem. Soc. 141 3526
[17] Dier O Lin C Grau M Amann M C 2004 Semicond. Sci. Technol. 19 1250
[18] Hao H Y Xiang W Wang G W Xu Y Q Ren W Z Han X He Z H Liao Y P Wei S H Niu Z C 2015 Chin. Phys. Lett. 32 107302
[19] Lin A Wei Y Hood A Bajowala A Yazdanpanah V Razeghi M Tidrow M 2004 Appl. Phys. Lett. 84 2037
[20] Gin A Wei Y Bae J Hood A Nah J Razeghi M 2004 Thin Solid Films 447 489
[21] Kim H S Plis E Gautam N Khoshakhlagh A Myers S Kutty M N Sharma Y Dawson L R Krishna S 2010 Proc. SPIE 7660 76601U
[22] Banerjee K Ghosh S Mallick S Plis E Krishna S 2009 J. Electron. Mater. 38
[23] Hao H Y Xiang W Wang G W Jiang D W Xu Y Q Ren Z W He Z H Niu Z C 2014 Proc SPIE 9300 93001K
[24] Wang G W Xiang W YXu Y Q Zhang L Peng Z Y Lv Y Q Si J J Wang J Xing J L Ren Z W Niu Z C 2013 J. Semicond. 34 114012
[25] Hao H Y Wang G W Xiang W Han X Xu Y Q Liao Y P Zhang Y Ren Z W Ni H Q He Z H Niu Z C 2015 Infr. Phys. Technol. 72 276