Please wait a minute...
Chin. Phys. B, 2018, Vol. 27(9): 097306    DOI: 10.1088/1674-1056/27/9/097306
CONDENSED MATTER: ELECTRONIC STRUCTURE, ELECTRICAL, MAGNETIC, AND OPTICAL PROPERTIES Prev   Next  

Key technologies for dual high-k and dual metal gate integration

Yong-Liang Li(李永亮), Qiu-Xia Xu(徐秋霞), Wen-Wu Wang(王文武)
Integrated Circuit Advanced Process Center, Institute of Microelectronics, Chinese Academy of Science, Beijing 100029, China
Abstract  

The key technologies for the dual high-k and dual metal gate, such as the electrical optimization of metal insert poly-Si stack structure, the separating of high-k and metal gate of n/pMOS in different regions of the wafer, and the synchronous etching of n/pMOS gate stack, are successfully developed. First, reasonable flat-band voltage and equivalent oxide thickness of pMOS MIPS structure are obtained by further optimizing the HfSiAlON dielectric through incorporating more Al-O dipole at interface between HfSiAlON and bottom SiOx. Then, the separating of high-k and metal gate for n/pMOS is achieved by SC1 (NH4OH:H2O2:H2O=1:1:5) and DHF-based solution for the selective removing of nMOS TaN and HfSiON and by BCl3-based plasma and DHF-based solution for the selective removing of pMOS TaN/Mo and HfSiAlON. After that, the synchronous etching of n/pMOS gate stack is developed by utilizing optimized BCl3/SF6/O2/Ar plasma to obtain a vertical profile for TaN and TaN/Mo and by utilizing BCl3/Ar plasma combined with DHF-based solution to achieve high selectivity to Si substrate. Finally, good electrical characteristics of CMOS devices, obtained by utilizing these new developed technologies, further confirm that they are practicable technologies for DHDMG integration.

Keywords:  high-k      metal gate      metal insert poly-Si stack (MIPS)      dual high-k and dual metal gate (DHDMG) integration  
Received:  04 June 2018      Revised:  03 July 2018      Accepted manuscript online: 
PACS:  73.40.Qv (Metal-insulator-semiconductor structures (including semiconductor-to-insulator))  
  73.50.Mx (High-frequency effects; plasma effects)  
  73.90.+f (Other topics in electronic structure and electrical properties of surfaces, interfaces, thin films, and low-dimensional structures)  
Fund: 

Project supported by the National High Technology Research and Development Program of China (Grant No. 2015AA010601).

Corresponding Authors:  Yong-Liang Li     E-mail:  liyongliang@ime.ac.cn

Cite this article: 

Yong-Liang Li(李永亮), Qiu-Xia Xu(徐秋霞), Wen-Wu Wang(王文武) Key technologies for dual high-k and dual metal gate integration 2018 Chin. Phys. B 27 097306

[1] Fu C H, Liao C K S, Lu H Y, Li C C and Wang T K 2010 Solid-State Electronics 54 368
[2] Niwa M 2014 Solid-State and Integrated Circuit Technology (ICSICT), October 28-31, 2014, Guilin, China, p. 1
[3] Xu Q X, Xu G B, Zhou H J, Zhu H L, Liang Q Q, Liu J B, Li J F, Xiang J J, Xu M, Zhong J, Xu W J, Zhao C, Chen D P and Ye T C 2015 IEEE Transactions on Electron Devices 62 4199
[4] Harris H R, Alshareef H, Wen H C, Krishnan S, Choi K, Luan H, Heh D, Park C S, Park H B, Hussain M, Ju B S, Kirsch P D, Song S C, Majhi P, Lee B B and Jammy R 2006 International Electron Devices Meeting, December 11-13, 2006, San Francisco, CA, USA, p. 1
[5] Kita K and Toriumi A 2008 IEEE International Electron Devices Meeting, December 15-17, 2008, 15-17 Dec. 2008 San Francisco, CA, USA, p. 1
[6] Zhao Y, Kita K, Kyuno K and Toriumi A 2009 J. Appl. Phys. 105 034103
[7] Zhao Y, Kita K, Kyuno K and Toriumi A 2009 Appl. Phys. Lett. 94 042901
[8] Yamamoto Y, Kita K, Kyuno K and Toriumi A 2007 Jpn. J. Appl. Phys. 46 7251
[9] Ni C N, Fu X, Yoshida N, Chan O, Jin M, Chen H, Hung S, Jakkaraju R, Kesapragada S, Lazik C, Hung R, Gandikota S, Chang C P and Brand A 2012 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), April 23-25, 2012, Hsinchu, Taiwan, China, p. 1
[10] Sivasubramani P, Boscke T S, Huang J, Young C D, Kirsch P D, Krishnan S A, Quevedo-Lopez M A, Govindarajan S, Ju B S, Harris H R, Lichtenwalner D J, Jur J S, Kingon A I, Kim J, Gnade B E, Wallace R M, Bersuker G, Lee B H and Jammy R 2007 IEEE Symposium on VLSI Technology, June 12-14, 2007, Kyoto, Japan, p. 68
[11] Song S, Zhang Z, Hussain M, Huffman C, Barnett J, Bae S, Li H, Majhi P, Park C, Ju B, Park H, Kang C, Choi R, Zeitzoff P, Tseng H, Lee B and Jammy R 2006 Symposium on VLSI Technology, June 13-15, 2006, Honolulu, HI, USA, p. 13
[12] Xu G B, Xu Q X, Yin H X, Zhou H J, Yang T, Niu J B, Yu J H, Li J F and Zhao C 2013 Chin. Phys. B 22 117309
[13] Xu G B, Xu Q X, Yin H X, Zhou H J, Yang T, Niu J B, He X B, Meng L K, Yu J H, Li J F, Yan J, Zhao C and Chen D P 2013 Chin. Phys. Lett. 30 087303
[14] Hsu P, Hou Y, Yen F, Chang V, Lim P, Hung C, Yao L, Jiang J, Lin H, Chiou J, Yin K, Lee J, Hwang R, Jin Y, Chang S, Tao H, Chen S, Liang M and Ma T 2006 Symposium on VLSI Technology, June 13-15, 2006, Honolulu, HI, USA, p. 11
[15] Gouil A L, Joubert O, Cunge G, Chevolleau T, Vallier L, Chenevier B and Matko I 2007 J. Vac. Sci. Technol. B 25 767
[16] Li Y L and Xu Q X 2010 Journal of Semiconductors 31 116001
[17] Li Y L and Xu Q X 2010 Journal of Semiconductors 31 036001
[18] Li Y L and Xu Q X 2011 Microelectronic Engineering 88 976
[1] Stress-induced leakage current characteristics of PMOS fabricated by a new multi-deposition multi-annealing technique with full gate last process
Yanrong Wang(王艳蓉), Hong Yang(杨红), Hao Xu(徐昊), Weichun Luo(罗维春), Luwei Qi(祁路伟), Shuxiang Zhang(张淑祥), Wenwu Wang(王文武), Jiang Yan(闫江), Huilong Zhu(朱慧珑), Chao Zhao(赵超), Dapeng Chen(陈大鹏), Tianchun Ye(叶甜春). Chin. Phys. B, 2017, 26(8): 087304.
[2] Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high-k metal gate NMOSFET with kMC TDDB simulations
Hao Xu(徐昊), Hong Yang(杨红), Wei-Chun Luo(罗维春), Ye-Feng Xu(徐烨峰), Yan-Rong Wang(王艳蓉), Bo Tang(唐波), Wen-Wu Wang(王文武), Lu-Wei Qi(祁路伟), Jun-Feng Li(李俊峰), Jiang Yan(闫江), Hui-Long Zhu(朱慧珑), Chao Zhao(赵超), Da-Peng Chen(陈大鹏), Tian-Chun Ye(叶甜春). Chin. Phys. B, 2016, 25(8): 087305.
[3] Temperature- and voltage-dependent trap generation model in high-k metal gate MOS device with percolation simulation
Hao Xu(徐昊), Hong Yang(杨红), Yan-Rong Wang(王艳蓉), Wen-Wu Wang(王文武), Wei-Chun Luo(罗维春), Lu-Wei Qi(祁路伟), Jun-Feng Li(李俊峰), Chao Zhao(赵超), Da-Peng Chen(陈大鹏), Tian-Chun Ye(叶甜春). Chin. Phys. B, 2016, 25(8): 087306.
[4] Growth mechanism of atomic-layer-deposited TiAlC metal gatebased on TiCl4 and TMA precursors
Jinjuan Xiang(项金娟), Yuqiang Ding(丁玉强), Liyong Du(杜立永), Junfeng Li(李俊峰),Wenwu Wang(王文武), Chao Zhao(赵超). Chin. Phys. B, 2016, 25(3): 037308.
[5] High performance trench MOS barrier Schottky diode with high-k gate oxide
Zhai Dong-Yuan (翟东媛), Zhu Jun (朱俊), Zhao Yi (赵毅), Cai Yin-Fei (蔡银飞), Shi Yi (施毅), Zheng You-Liao (郑有炓). Chin. Phys. B, 2015, 24(7): 077201.
[6] Energy distribution extraction of negative charges responsible for positive bias temperature instability
Ren Shang-Qing (任尚清), Yang Hong (杨红), Wang Wen-Wu (王文武), Tang Bo (唐波), Tang Zhao-Yun (唐兆云), Wang Xiao-Lei (王晓磊), Xu Hao (徐昊), Luo Wei-Chun (罗维春), Zhao Chao (赵超), Yan Jiang (闫江), Chen Da-Peng (陈大鹏), Ye Tian-Chun (叶甜春). Chin. Phys. B, 2015, 24(7): 077304.
[7] Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high-k/metal gate last process
Wang Yan-Rong (王艳蓉), Yang Hong (杨红), Xu Hao (徐昊), Wang Xiao-Lei (王晓磊), Luo Wei-Chun (罗维春), Qi Lu-Wei (祁路伟), Zhang Shu-Xiang (张淑祥), Wang Wen-Wu (王文武), Yan Jiang (闫江), Zhu Hui-Long (朱慧珑), Zhao Chao (赵超), Chen Da-Peng (陈大鹏), Ye Tian-Chun (叶甜春). Chin. Phys. B, 2015, 24(11): 117306.
[8] A threshold voltage model of short-channel fully-depleted recessed-source/drain (Re-S/D) SOI MOSFETs with high-k dielectric
Gopi Krishna Saramekala, Sarvesh Dubey, Pramod Kumar Tiwari. Chin. Phys. B, 2015, 24(10): 108505.
[9] Analysis of flatband voltage shift of metal/high-k/SiO2/Si stack based on energy band alignment of entire gate stack
Han Kai (韩锴), Wang Xiao-Lei (王晓磊), Xu Yong-Gui (徐永贵), Yang Hong (杨红), Wang Wen-Wu (王文武). Chin. Phys. B, 2014, 23(11): 117702.
[10] High-mobility germanium p-MOSFETs by using HCl and (NH4)2S surface passivation
Xue Bai-Qing (薛百清), Wang Sheng-Kai (王盛凯), Han Le (韩乐), Chang Hu-Dong (常虎东), Sun Bing (孙兵), Zhao Wei (赵威), Liu Hong-Gang (刘洪刚). Chin. Phys. B, 2013, 22(10): 107302.
[11] A threshold voltage analytical model for high-k gate dielectric MOSFETs with fully overlapped lightly doped drain structures
Ma Fei(马飞), Liu Hong-Xia(刘红侠), Kuang Qian-Wei(匡潜玮), and Fan Ji-Bin(樊继斌) . Chin. Phys. B, 2012, 21(5): 057304.
[12] The influence and explanation of fringing-induced barrier lowering on sub-100 nm MOSFETs with high-k gate dielectrics
Ma Fei(马飞), Liu Hong-Xia(刘红侠), Kuang Qian-Wei(匡潜玮), and Fan Ji-Bin(樊继斌) . Chin. Phys. B, 2012, 21(5): 057305.
[13] Flat-band voltage shift in metal-gate/high-k/Si stacks
Huang An-Ping(黄安平), Zheng Xiao-Hu(郑晓虎), Xiao Zhi-Song(肖志松), Yang Zhi-Chao(杨智超), Wang Mei(王玫), Paul K. Chu(朱剑豪), and Yang Xiao-Dong(杨晓东) . Chin. Phys. B, 2011, 20(9): 097303.
[14] Effect of interface-roughness scattering on mobility degradation in SiGe p-MOSFETs with a high-k dielectric/SiO2 gate stack
Zhang Xue-Feng(张雪锋), Xu Jing-Ping (徐静平), Lai Pui-To(黎沛涛), Li Chun-Xia(李春霞), and Guan Jian-Guo(官建国). Chin. Phys. B, 2007, 16(12): 3820-3826.
[15] Fabrication and characteristics of high-K HfO2 gate dielectrics on n-germanium
Han De-Dong(韩德栋), Kang Jin-Feng(康晋锋), Liu Xiao-Yan(刘晓彦), Sun Lei(孙雷), Luo Hao(罗浩), and Han Ru-Qi(韩汝琦). Chin. Phys. B, 2007, 16(1): 245-248.
No Suggested Reading articles found!