Please wait a minute...
Chin. Phys. B, 2021, Vol. 30(12): 128402    DOI: 10.1088/1674-1056/ac248b
Special Issue: SPECIAL TOPIC— Interdisciplinary physics: Complex network dynamics and emerging technologies
TOPICAL REVIEW—Interdisciplinary physics: Complex network dynamics and emerging technologies Prev   Next  

A review on the design of ternary logic circuits

Xiao-Yuan Wang(王晓媛), Chuan-Tao Dong(董传涛), Zhi-Ru Wu(吴志茹), and Zhi-Qun Cheng(程知群)
School of Electronics and Information, Hangzhou Dianzi University, Hangzhou 310018, China
Abstract  A multi-valued logic system is a promising alternative to traditional binary logic because it can reduce the complexity, power consumption, and area of circuit implementation. This article briefly summarizes the development of ternary logic and its advantages in digital logic circuits. The schemes, characteristics, and application of ternary logic circuits based on CMOS, CNTFET, memristor, and other devices and processes are reviewed in this paper, providing some reference for the further research and development of ternary logic circuits.
Keywords:  ternary logic circuit      memristor      digital logic circuit      circuit design  
Received:  15 July 2021      Revised:  02 September 2021      Accepted manuscript online:  08 September 2021
PACS:  84.30.-r (Electronic circuits)  
  85.25.Hv (Superconducting logic elements and memory devices; microelectronic circuits)  
  02.10.Ab (Logic and set theory)  
Fund: Project supported in part by the National Natural Science Foundation of China (Grant No. 61871429), the Natural Science Foundation of Zhejiang Province, China (Grant No. LY18F010012), and the Project of Ministry of Science and Technology of China (Grant No. D20011).
Corresponding Authors:  Xiao-Yuan Wang     E-mail:  youyuan-0213@163.com

Cite this article: 

Xiao-Yuan Wang(王晓媛), Chuan-Tao Dong(董传涛), Zhi-Ru Wu(吴志茹), and Zhi-Qun Cheng(程知群) A review on the design of ternary logic circuits 2021 Chin. Phys. B 30 128402

[1] Hamedani S G and Moaiyeri M H 2019 IEEE T. Device Mat. Re. 19 630
[2] Gaudet V 2016 IEEE Jour. Emer. Select. Top. Circu. Syste. 6 5
[3] Kim S, Lee S, Park S, Kim K R and Kang S 2020 IEEE Trans. Circuits Syst. I-Regul. Pap. 67 3138
[4] Kim S, Lee S, Park S and Kang S 2019 IEEE 49th International Symposium on Multiple-Valued Logic (ISMVL), May 21-23, 2019, Linz, Austria, p. 37
[5] Lin S, Kim Y and Lombardi F 2011 IEEE T. Nanotechnol. 10 217
[6] Glusker M 2007 37th International Symposium on Multiple-Valued Logic (ISMVL'07), May 13-16, 2007, Oslo, Norway, p. b
[7] Thomas and Paul A V 1961 The design philosophy of a small automatic digital computer (Ann Arbor:ProQuest LLC) pp. 1-132
[8] Frieder G 1973 IEEE International Symposium on Multiple-Valued Logic (ISMVL), 1973, p. 68
[9] Mouftah H T, Heung A N C and Wong L M C 1984 IEEE International Symposium on Multiple-Valued Logic (ISMVL), 1984, p. 125
[10] Toulabinejad M, Taheri M, Navi K and Bagherzadeh N 2019 Microelectron. J. 90 267
[11] Jahangir M Z and Mounika J 2019 Microelectron. J. 90 82
[12] Etiemble D 2020 IEEE Access 8 220015
[13] Khalid M and Singh J 2016 Analog Integr. Circ. S. 87 399
[14] Mondal B, Sarkar P, Saha P K and Chakraborty S 2013 IEEE 43rd International Symposium on Multiple-Valued Logic, May 22-24, 2013, Toyama, Japan, p. 334
[15] Kleene S C 1952 Introduction to metamathematics (The Netherlands:North-Holland) pp. 332-340
[16] Beckett P 2009 International Conference on Field-Programmable Technology, December 9-11, 2009, Sydney, Australia, p. 46
[17] Zarandi A D, Reshadinezhad M R and Rubio A 2020 IEEE Access 8 58585
[18] Murotiya S L, Gupta A and Vasishth S 2014 Annual IEEE India Conference (INDICON), December 11-13, 2014, Pune, India, p. 1
[19] Koanantakool H T 1978 Electron. Lett. 14 462
[20] Huertas J L, Acha J I and Carmona J M 1978 IEE Journal on Electronic Circuits & Systems 2 61
[21] Mouftah H T and Smith K C 1980 Electronic Circuits & Systems Iee Proceedings G 127 165
[22] Balla P C and Antoniou A 1984 IEEE J. Solid-St. Circ. 19 739
[23] Heung A and Mouftah H T 1985 IEEE J. Solid-St. Circ. 20 609
[24] Gaikwad V T and Deshmukh P R 2015 International Conference on Pervasive Computing (ICPC), January 8-10, 2015, Pune, India, p. 1
[25] Doostaregan A, Moaiyeri M H, Navi K and Hashemipour O 2010 15th CSI International Symposium on Computer Architecture and Digital Systems, September 23-24, 2010, Tehran, Iran, p. 115
[26] Srivastava A 2000 Microelectron. Reliab. 40 2107
[27] Chowdhury A K, Raj N and Singh A K 2015 4th International Conference on Eco-friendly Computing and Communication Systems, December 07-08, 2015, Natl Inst Technol, India, p. 428
[28] Wu C Y and Huang H Y 1990 IEEE International Symposium on Circuits and Systems, May 1-3, 1990, New Orleans, USA, p. 582
[29] Hang G Q, Yang Y, Zhang D Y and Li X H 2014 Tenth International Conference on Computational Intelligence and Security, November 15-16, 2014, Kunming, China, p. 166
[30] Hang G Q, Zhou X C and Hu X H 2014 IEEE 12th International Conference on Dependable, Autonomic and Secure Computing, August 24-27, 2014, Dalian, China, p. 447
[31] Shin S, Jeong J W, Park B G and Kim K R 2015 IEEE T. Electron Dev. 62 2396
[32] Shin S, Jang E and Kim K R 2014 Silicon Nanoelectronics Workshop (SNW), June 8-9, 2014, Honolulu, USA, p. 1
[33] Kim S, Lee K, Lee J H, Kwon D and Park B G 2020 IEEE T. Electron Dev. 67 3889
[34] Shin S, Jeong J W and Kim K R 2016 IEEE Silicon Nanoelectronics Workshop (SNW), June 12-13, 2016, Honolulu, USA, p. 170
[35] Kim H W, Kim S, Lee K, Lee J, Park B G and Kwon D 2020 IEEE T. Electron Dev. 67 4541
[36] Kim H W and Kwon D 2021 IEEE J. Electron Devices Soc. 9 1
[37] Kim Y B 2010 Trans. Electr. Electron. Mater. 11 93
[38] Moaiyeri M H, Mirzaee R F, Doostaregan A, Navi K and Hashemipour O 2013 IET Comput. Digit. Tech. 7 167
[39] Jafarzadehpour F and Keshavarzian P 2016 IET Circ. Device. Syst. 10 365
[40] Srinivasu B and Sridharan K 2017 IET Circ. Device. Syst. 11 352
[41] Shreya S and Chandel R 2014 Students Conference on Engineering and Systems, May 28-30, 2014, Allahabad, India, p. 1
[42] Raychowdhury A and Roy K 2005 IEEE T. Nanotechnol. 4 168
[43] Nan Q and Choi K 2010 International SoC Design Conference, November 22-23, 2010, Incheon, Korea (South), p. 115
[44] Betschi O and Choi K 2019 International SoC Design Conference (ISOCC), October 6-9, 2019, Jeju, Korea (South), p. 243
[45] Moaiyeri M H, Doostaregan A and Navi K 2011 IET Circ. Device. Syst. 5 285
[46] Liang J H, Chen L B,Han J and Lombardi F 2014 IEEE T. Nanotechnol. 13 695
[47] Joop M K Q, Moaiyeri M H and Tamersit K 2020 IEEE Trans. Circuits Syst. II-Express Briefs. 68 2162
[48] Sharma T and Kumre L 2020 IET Circ. Device. Syst. 14 1077
[49] Keshavarzian P 2013 Microelectron. J. 44 794
[50] Nepal K 2010 Proceedings of the 8th IEEE International NEWCAS Conference 2010, June 20-23, 2010, Montreal, Canada, p. 53
[51] Jaber R A, Kassem A, El-Hajj A M, El-Nimri L A and Haidar A M 2019 IEEE Access 7 93871
[52] Samadi H, Shahhoseini A and Aghaei-liavali F 2017 Microelectron. J. 63 41
[53] Das D, Banerjee A and Prasad V 2018 International Symposium on Devices, Circuits and Systems (ISDCS), March 29-31, 2018, Howrah, India, p. 1
[54] Tabrizchi S, Taheri M, Navi K and Bagherzadeh N 2019 IET Circ. Device. Syst. 13 193
[55] Chua L 1971 IEEE Trans. Circuit Theory 18 507
[56] Strukov D B, Snider G S, Stewart D R and Williams R S 2008 Nature 453 80
[57] Kvatinsky S, Wald N, Satat G, Kolodny A,Weiser U C and Friedman E G 2012 13th International Workshop on Cellular Nanoscale Networks and their Applications (CNNA), August 29-31, 2012, Turin, Italy, p. 1
[58] Maan A K, Jayadevi D A and James A P 2017 IEEE T. Neur. Net. Lear. 28 1734
[59] Wang X P, Yang Y Y and Shang M J 2018 37th Chinese Control Conference (CCC), July 25-27, 2018, Wuhan, China, p. 8502
[60] Govli R, Dixit V and Sahoo D 2018 IEEE 61st International Midwest Symposium on Circuits and Systems (MWSCAS), August 5-8, 2018, Windsor, Canada, p. 508
[61] Prabaharan S R S, Sahoo S and Mishra S K 2017 International Conference on Nextgen Electronic Technologies:Silicon to Software (ICNETS2), March 23-25, 2017, Chennai, India, p. 456
[62] An H Y, Al-Mamum M S, Orlowski M K, Liu L J and Yi Y 2021 IEEE T. Comput. Aid. D. PP 1
[63] Wang X Y, Zhou P F, Eshraghian J K, Lin C Y, Iu H H C, Chang T C and Kang S M 2021 IEEE Trans. Circuits Syst. I-Regul. Pap. 68 264
[64] Zhang H F, Zhang Z W, Gao M Y, Luo L, Duan S K, Dong Z K and Lin H P 2020 Electronics 9 542
[65] Soliman N S, Fouda M E and Radwan A G 2018 Microelectron. J. 72 74
[66] Mohammed M U, Vijjapuram R and Chowdhury M H 2018 IEEE 61st International Midwest Symposium on Circuits and Systems (MWSCAS), August 5-8, 2018, Windsor, Canada, p. 1106
[67] Luo L, Dong Z K, Hu X F, Wang L D and Duan S K 2020 Int. J. Bifurcat. Chaos 30 2050222
[68] Wang X Y, Li P, Jin C X, Dong Z K and Iu H H C 2021 Int. J. Bifurcat. Chaos 31 2150248
[69] Karmakar S, Chandy J A and Jain F C 2013 IEEE T. VLSI Syst. 21 793
[70] Nuniez J, Quintana J M and Avedillo M J 2007 2nd IEEE International Conference on Nano/Micro Engineered and Molecular Systems, January 16-19, 2007, Bangkok, Thailand, p. 860
[71] Wu G, Cai L and Li Q 2009 Journal of Semiconductors 30 96
[72] Zhang Y J, Chen X H, Wang Z R, Chen Q L, Liu G, Li Y, Wang P J, Li R W and Miao X S 2019 IEEE T. Electron Dev. 66 4710
[73] Taraphdar C, Chattopadhyay T and Roy J N 2011 Optik 122 33
[74] Gopal P V, Narkhede S and Sasikala G 2015 International Conference on Smart Technologies and Management for Computing, Communication, Controls, Energy and Materials (ICSTM), May 6-8, 2015, Chennai, India, p. 275
[75] Tian M C, Xiong X, Huang M Q, Li T Y, Li S M, Hu QL, Li X F and Wu Y Q 2018 14th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), October 31-November 3, 2018, Qingdao, China, p. 1
[76] Dey A, Bajpai O P, Sikder A K, Chattopadhyay S and Khan M A S 2015 Renew. Sust. Energ. Rev. 53 653
[77] Gowrisankar P A 2017 Third International Conference on Science Technology Engineering & Management (ICONSTEM), March 23-24, 2017, Chennai, India, p. 1023
[78] Sandhie Z T, Ahmed F U and Chowdhury M H 2020 IEEE 11th Latin American Symposium on Circuits & Systems (LASCAS), February 25-28, 2020, San Jose, Costa Rica, p. 1
[79] Sandhie Z T, Ahmed F U and Chowdhury M H 2020 IEEE Open Journal of Nanotechnology 1 77
[80] Nayeri M, Keshavarzian P and Nayeri M 2019 Microelectron. J. 92 104599
[81] Madhuri B D and Sunithamani S 2020 IET Circ. Device. Syst. 14 972
[82] Zahoor F, Hussin F A, Khanday F A, Ahmad M R, Nawi I M, Ooi C Y and Rokhani F Z 2021 Electronics 10 79
[83] Wang X Y, Wu Z R, Zhou P F, Iu H H C, Eshraghian J K and Kang S M 2021 arXiv:2104.10297[cs.ET]
[84] Saidutt P V, Srinivas V, Phaneendra P S and Muthukrishnan N M 2012 Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics, December 5-7, 2012, Hyderabad, India, p. 85
[85] Ghosh K, Haque M M and Chakraborty S 2016 International Conference on Communication and Signal Processing (ICCSP), April 6-8, 2016, Melmaruvathur, India, p. 1290
[86] Vudadha C, Rajagopalan S, Dusi A, Phaneendra P S and Srinivas M B 2018 IEEE Trans. Nanotechnol. 17 299
[87] Mohammaden A, Fouda M E, Said L A and Radwan A G 2020 IEEE 63rd International Midwe st Symposium on Circuits and Systems (MWSCAS), August 9-12, 2020, Springfield, USA, p. 562
[88] Soliman N S, Fouda M E, Said L A, Madian A H and Radwan A G 2018 30th International Conference on Microelectronics (ICM), December 16-19, 2018, Sousse, Tunisia, p. 148
[89] Soliman N S, Fouda M E, Said L A, Madian A H and Radwan A G 2019 31st International Conference on Microelectronics (ICM), December 15-18, 2019, Cairo, Egypt, p. 142
[90] Soliman N S, Fouda M E, Alhurbi A G, Said L A, Madian A H and Radwan A G 2019 IEEE Access 7 48371
[91] Vudadha C, Parlapalli S P and Srinivas M B 2018 Microelectron. J. 75 75
[92] Jaber R A, El-Hajj A M, Kassem A, Nimri L A and Haidar A M 2020 Microelectron. J. 96 104698
[93] Jaber R A, Haidar A M and Kassem A 2020 32nd International Conference on Microelectronics (ICM), December 14-17, 2020, Aqaba, Jordan, p. 1
[94] Tabrizchi S, Panahi A, Sharifi F, Navi K and Bagherzadeh N 2017 IET Circ. Devices Syst. 11 465
[95] Vudadha C T and Srinivas M B 2018 IEEE 48th International Symposium on Multiple-Valued Logic (ISMVL), May 16-18, 2018, Linz, Austria, p. 192
[96] Vudadha C, Katragadda S and Phaneendra P S 2013 IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia), December 19-21, 2013, Visakhapatnam, India, p. 46
[97] Sharma T and Kumre L 2020 Circuits Syst. Signal Process. 39 3265
[98] Vudadha C, Sreehari V and Srinivas M B 2012 8th Conference on Ph.D. Research in Microelectronics & Electronics, June 12-15, 2012, Aachen, Germany, p. 1
[99] Sharma T and Kumre L 2020 Microelectron. J. 104 104869
[100] Sahoo S K, Akhilesh G and Sahoo R 2017 3rd IEEE International Symposium on Nanoelectronic and Information Systems (IEEE INIS), December 18-20, 2017, Bhopal, India, p. 46
[101] Vudadha C, Sai P P, Sreehari V and Srinivas M B 2012 International Symposium on Communications and Information Technologies (ISCIT), October 2-5, 2012, p. 942
[102] Vudadha C, Phaneendra P S and Srinivas M B A 2016 IEEE International Symposium on Nanoelectronic and Information Systems (iNIS), December 19-21,2016, Gwalior, India, p. 278
[103] Murotiya S L and Gupta A 2014 Arab. J. Sci. Eng. 39 7839
[104] Murotiya S L and Gupta A 2015 28th International Conference on VLSI Design, January 3-7, 2015, Bangalore, India, p. 292
[105] Chen K and Hsieh V C 1999 First IEEE Asia Pacific Conference on ASICs, August 23-25, 1999, Seoul, Korea, p. 166
[106] Ghoneim M S, Mohammaden A, Hesham R, Madian A H 2020 32nd International Conference on Microelectronics (ICM), December 14-17, 2020, Aqaba, Jordan p. 1
[107] Jaber A R, Owaidat B, Kassem A and HaidarA A M 2020 International Conference on Innovation and Intelligence for Informatics, Computing and Technologies (3ICT), December 20-21, 2020, Sakheer, Bahrain, p. 1
[108] Vidhyadharan S and Dan S S 2021 IEEE Trans. Nanotechnol. 20 365
[109] Firouzi S, Tabrizchi S, Sharifi F and Badawy A 2019 Comput. Electr. Eng. 77 205
[110] Phanindra L S, Rajath M N, Rakesh V and Vasundara P K 2016 IEEE International Conference on Recent Trends in Electronics, Information & Communication Technology (RTEICT), May 20-21, 2016, Bangalore, India, p. 563
[111] Sankar P A G 2018 International Conference on Intelligent Computing and Communication for Smart World (I2C2SW), December 14-15, 2018, Erode, India, p. 198
[112] Moaiyeri M H, Mirzaee R F, Navi K and Hashemipour O 2011 Nano-Micro Lett. 3 43
[113] Sharma T and Kumre L 2020 Microprocess. Microsyst. 73 102959
[114] Gope J, Bhadra S, Chanda S, Sarkar M, Pal S and Rai A 2016 IEEE 7th Annual Ubiquitous Computing, Electronics & Mobile Communication Conference (UEMCON), October 20-22, 2016, New York, USA, p. 1
[115] Mouftah and Jordan 1977 IEEE Trans. Comput. 26 281
[116] Wu X W and Prosser F 1988 The Eighteenth International Symposium on Multiple-Valued Logic (ISMVL), May 24-26, 1988 Palma de Mallorca, Spain, p. 307
[117] Zhou X C and Hang G Q 2013 Ninth International Conference on Natural Computation (ICNC), July 23-25, 2013, Shenyang, China, p. 272
[118] Hang G Q and Zhou X C 2011 International Conference on Electric Information and Control Engineering (ICEICE), April 15-17, 2011, Wuhan, China, p. 5978
[119] Mei F G and Wang P J 2010 International Conference on Computer Application and System Modeling (ICCASM), October 22-24, 2010, Taiyuan, China, p. 641
[120] Uemura T and Baba T 2001 IEEE Trans. Electron Devices 49 1336
[121] Sipos E and Miron C 2010 IEEE International Conference on Automation, Quality and Testing, Robotics (AQTR), May 28-30, 2010, Cluj-Napoca, Romania, p. 1
[122] Lin M, Zhang H P and Lv W F 2016 International Conference on Integrated Circuits and Microsystems (ICICM), November 23-25, 2016, Chengdu, China, p. 91
[123] Wang Q, Wang P J and Dong D H 2016 IEEE International Conference on Ubiquitous Wireless Broadband (ICUWB), October 16-19, 2016, Nanjing, China, p. 1
[124] Kang Y P, Wang P J, Zhang Y J and Li G 2017 IEEE 12th International Conference on ASIC (ASICON), October 25-28, 2017, Guiyang, China, p. 375
[125] Rahbari K and Hosseini S A 2019 AEU-Int. J. Electron. Commun. 109 107
[126] Sandhie Z T, Ahmed F U and Chowdhury M H 2020 IEEE 63rd International Midwest Symposium on Circuits and Systems (MWSCAS), August 9-12, 2020, Springfield, USA, p. 554
[127] Amirany A, Jafari K and Moaiyeri M H 2021 IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 29 916
[1] Hopf bifurcation and phase synchronization in memristor-coupled Hindmarsh-Rose and FitzHugh-Nagumo neurons with two time delays
Zhan-Hong Guo(郭展宏), Zhi-Jun Li(李志军), Meng-Jiao Wang(王梦蛟), and Ming-Lin Ma(马铭磷). Chin. Phys. B, 2023, 32(3): 038701.
[2] Memristor's characteristics: From non-ideal to ideal
Fan Sun(孙帆), Jing Su(粟静), Jie Li(李杰), Shukai Duan(段书凯), and Xiaofang Hu(胡小方). Chin. Phys. B, 2023, 32(2): 028401.
[3] Memristor hyperchaos in a generalized Kolmogorov-type system with extreme multistability
Xiaodong Jiao(焦晓东), Mingfeng Yuan(袁明峰), Jin Tao(陶金), Hao Sun(孙昊), Qinglin Sun(孙青林), and Zengqiang Chen(陈增强). Chin. Phys. B, 2023, 32(1): 010507.
[4] High-performance artificial neurons based on Ag/MXene/GST/Pt threshold switching memristors
Xiao-Juan Lian(连晓娟), Jin-Ke Fu(付金科), Zhi-Xuan Gao(高志瑄),Shi-Pu Gu(顾世浦), and Lei Wang(王磊). Chin. Phys. B, 2023, 32(1): 017304.
[5] Firing activities in a fractional-order Hindmarsh-Rose neuron with multistable memristor as autapse
Zhi-Jun Li(李志军), Wen-Qiang Xie(谢文强), Jin-Fang Zeng(曾金芳), and Yi-Cheng Zeng(曾以成). Chin. Phys. B, 2023, 32(1): 010503.
[6] High throughput N-modular redundancy for error correction design of memristive stateful logic
Xi Zhu(朱熙), Hui Xu(徐晖), Weiping Yang(杨为平), Zhiwei Li(李智炜), Haijun Liu(刘海军), Sen Liu(刘森), Yinan Wang(王义楠), and Hongchang Long(龙泓昌). Chin. Phys. B, 2023, 32(1): 018502.
[7] Pulse coding off-chip learning algorithm for memristive artificial neural network
Ming-Jian Guo(郭明健), Shu-Kai Duan(段书凯), and Li-Dan Wang(王丽丹). Chin. Phys. B, 2022, 31(7): 078702.
[8] Fabrication and investigation of ferroelectric memristors with various synaptic plasticities
Qi Qin(秦琦), Miaocheng Zhang(张缪城), Suhao Yao(姚苏昊), Xingyu Chen(陈星宇), Aoze Han(韩翱泽),Ziyang Chen(陈子洋), Chenxi Ma(马晨曦), Min Wang(王敏), Xintong Chen(陈昕彤), Yu Wang(王宇),Qiangqiang Zhang(张强强), Xiaoyan Liu(刘晓燕), Ertao Hu(胡二涛), Lei Wang(王磊), and Yi Tong(童祎). Chin. Phys. B, 2022, 31(7): 078502.
[9] Design and FPGA implementation of a memristor-based multi-scroll hyperchaotic system
Sheng-Hao Jia(贾生浩), Yu-Xia Li(李玉霞), Qing-Yu Shi(石擎宇), and Xia Huang(黄霞). Chin. Phys. B, 2022, 31(7): 070505.
[10] A mathematical analysis: From memristor to fracmemristor
Wu-Yang Zhu(朱伍洋), Yi-Fei Pu(蒲亦非), Bo Liu(刘博), Bo Yu(余波), and Ji-Liu Zhou(周激流). Chin. Phys. B, 2022, 31(6): 060204.
[11] The dynamics of a memristor-based Rulkov neuron with fractional-order difference
Yan-Mei Lu(卢艳梅), Chun-Hua Wang(王春华), Quan-Li Deng(邓全利), and Cong Xu(徐聪). Chin. Phys. B, 2022, 31(6): 060502.
[12] Memristor-based multi-synaptic spiking neuron circuit for spiking neural network
Wenwu Jiang(蒋文武), Jie Li(李杰), Hongbo Liu(刘洪波), Xicong Qian(钱曦聪), Yuan Ge(葛源), Lidan Wang(王丽丹), and Shukai Duan(段书凯). Chin. Phys. B, 2022, 31(4): 040702.
[13] Complex dynamic behaviors in hyperbolic-type memristor-based cellular neural network
Ai-Xue Qi(齐爱学), Bin-Da Zhu(朱斌达), and Guang-Yi Wang(王光义). Chin. Phys. B, 2022, 31(2): 020502.
[14] A novel hyperchaotic map with sine chaotification and discrete memristor
Qiankun Sun(孙乾坤), Shaobo He(贺少波), Kehui Sun(孙克辉), and Huihai Wang(王会海). Chin. Phys. B, 2022, 31(12): 120501.
[15] A spintronic memristive circuit on the optimized RBF-MLP neural network
Yuan Ge(葛源), Jie Li(李杰), Wenwu Jiang(蒋文武), Lidan Wang(王丽丹), and Shukai Duan(段书凯). Chin. Phys. B, 2022, 31(11): 110702.
No Suggested Reading articles found!